This is anita version 2.8 Using pexpect version 4.8.0 /usr/pkg/bin/anita \ --workdir=/bracket/evbarm-earmv7hf/test/2021.08.01.21.58.56/anita \ --structured-log-file=/bracket/evbarm-earmv7hf/test/2021.08.01.21.58.56/test.slog \ '--vmm-args=-tb-size 128' \ --test-timeout 21600 \ test \ file:///bracket/evbarm-earmv7hf/release/2021.08.01.21.58.56/release/evbarm-earmv7hf/ QEMU emulator version 5.1.0 Copyright (c) 2003-2020 Fabrice Bellard and the QEMU Project developers qemu path: /usr/pkg/bin/qemu-system-arm qemu package: qemu-5.1.0nb9 glib2 package: glib2-2.64.1 qemu-system-arm \ -m 128 \ -drive file=/bracket/evbarm-earmv7hf/test/2021.08.01.21.58.56/anita/wd0.img,format=raw,media=disk,snapshot=on,if=sd \ -nographic \ -tb-size 128 \ -M vexpress-a15 \ -append root=ld0a \ -dtb /usr/pkg/share/dtb/arm/vexpress-v2p-ca15-tc1.dtb \ -kernel /bracket/evbarm-earmv7hf/test/2021.08.01.21.58.56/anita/netbsd-GENERIC.ub child pid is 4515 qemu-system-arm: -tb-size 128: warning: The -tb-size option is deprecated, use -accel tcg,tb-size instead [ 1.0000000] NetBSD/evbarm (fdt) booting ... [ 1.0000000] [ Kernel symbol table missing! ] [ 1.0000000] Copyright (c) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, [ 1.0000000] 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, [ 1.0000000] 2018, 2019, 2020, 2021 The NetBSD Foundation, Inc. All rights reserved. [ 1.0000000] Copyright (c) 1982, 1986, 1989, 1991, 1993 [ 1.0000000] The Regents of the University of California. All rights reserved. [ 1.0000000] NetBSD 9.99.87 (GENERIC) #0: Mon Aug 2 02:22:46 UTC 2021 [ 1.0000000] root@lyta.NetBSD.org:/tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/obj/sys/arch/evbarm/compile/GENERIC [ 1.0000000] total memory = 128 MB [ 1.0000000] avail memory = 109 MB [ 1.0000000] armfdt0 (root) [ 1.0000000] simplebus0 at armfdt0: V2P-CA15 [ 1.0000000] simplebus1 at simplebus0 [ 1.0000000] simplebus2 at simplebus0 [ 1.0000000] cpus0 at simplebus0 [ 1.0000000] simplebus3 at simplebus0 [ 1.0000000] cpu0 at cpus0: Cortex-A15 r2p1 (Cortex V7A core) [ 1.0000000] cpu0: DC enabled IC enabled WB enabled LABT branch prediction enabled [ 1.0000000] cpu0: 32KB/64B 2-way L1 PIPT Instruction cache [ 1.0000000] cpu0: 32KB/64B 2-way write-back-locking-C L1 PIPT Data cache [ 1.0000000] cpu0: 2304KB/64B 16-way write-through L2 PIPT Unified cache [ 1.0000000] vfp0 at cpu0: NEON MPE (VFP 3.0+), rounding, NaN propagation, denormals [ 1.0000000] cpu1 at cpus0: disabled (unresponsive) [ 1.0000000] simplebus4 at simplebus1: V2M-P1 [ 1.0000000] simplebus5 at simplebus4 [ 1.0000000] gic0 at simplebus0: GIC [ 1.0000000] armgic0 at gic0: Generic Interrupt Controller, 160 sources (160 valid) [ 1.0000000] armgic0: 256 Priorities, 128 SPIs, 16 PPIs, 16 SGIs [ 1.0000000] fclock0 at simplebus4: 32768 Hz fixed clock (v2m:refclk32khz) [ 1.0000000] fclock1 at simplebus4: 1000000 Hz fixed clock (v2m:refclk1mhz) [ 1.0000000] fclock2 at simplebus4: 24000000 Hz fixed clock (v2m:clk24mhz) [ 1.0000000] gtmr0 at simplebus0: Generic Timer [ 1.0000000] gtmr0: interrupting on GIC irq 27 [ 1.0000000] armgtmr0 at gtmr0: Generic Timer (62500 kHz, virtual) [ 1.0000030] plcom0 at simplebus5: ARM PL011 UART [ 1.0000030] plcom0: txfifo disabled [ 1.0000030] plcom0: console [ 1.0000030] plcom0: interrupting on GIC irq 37 [ 1.0000030] plcom1 at simplebus5: ARM PL011 UART [ 1.0000030] plcom1: txfifo disabled [ 1.0000030] plcom1: interrupting on GIC irq 38 [ 1.0000030] plcom2 at simplebus5: ARM PL011 UART [ 1.0000030] plcom2: txfifo disabled [ 1.0000030] plcom2: interrupting on GIC irq 39 [ 1.0000030] plcom3 at simplebus5: ARM PL011 UART [ 1.0000030] plcom3: txfifo disabled [ 1.0000030] plcom3: interrupting on GIC irq 40 [ 1.0000030] fregulator0 at simplebus4: 3V3 [ 1.0000030] /dcc at simplebus0 not configured [ 1.0000030] vxsysreg0 at simplebus5 [ 1.0000030] /smb@08000000/motherboard/iofpga@3,00000000/sysctl@020000 at simplebus5 not configured [ 1.0000030] /smb@08000000/motherboard/mcc at simplebus4 not configured [ 1.0000030] /smb@08000000/motherboard/vram@2,00000000 at simplebus4 not configured [ 1.0000030] genfb0 at simplebus5 [ 1.0000030] wsdisplay0 at genfb0 kbdmux 1: console (default, vt100 emulation) [ 1.0000030] virtio0 at simplebus0 [ 1.0000030] virtio1 at simplebus0 [ 1.0000030] virtio2 at simplebus0 [ 1.0000030] virtio3 at simplebus0 [ 1.0000030] /hdlcd@2b000000 at simplebus0 not configured [ 1.0000030] /memory-controller@2b0a0000 at simplebus0 not configured [ 1.0000030] /memory-controller@7ffd0000 at simplebus0 not configured [ 1.0000030] /dma@7ffb0000 at simplebus0 not configured [ 1.0000030] /pmu at simplebus0 not configured [ 1.0000030] /smb@08000000/motherboard/flash@0,00000000 at simplebus4 not configured [ 1.0000030] /smb@08000000/motherboard/psram@1,00000000 at simplebus4 not configured [ 1.0000030] smsh0 at simplebus4: SMSC LAN9118 Rev 1 [ 1.0000030] smsh0: MAC address 52:54:00:12:34:56 [ 1.0000030] ukphy0 at smsh0 phy 1: OUI 0x00800f, model 0x000d, rev. 1 [ 1.0000030] ukphy0: 10baseT, 10baseT-FDX, 100baseTX, 100baseTX-FDX, auto [ 1.0000030] smsh0: interrupting on GIC irq 47 [ 1.0000030] /smb@08000000/motherboard/usb@2,03000000 at simplebus4 not configured [ 1.0000030] gpioleds0 at simplebus4: [ 1.0000030] /smb@08000000/motherboard/iofpga@3,00000000/i2c@030000 at simplebus5 not configured [ 1.0000030] aaci0 at simplebus5: Advanced Audio CODEC [ 1.0000030] aaci0: ac97: National Semiconductor LM4549 codec; headphone, 18 bit DAC, 18 bit ADC, National Semi 3D [ 1.0000030] aaci0: ac97: ext id 0x1 [ 1.0000030] audio0 at aaci0: playback [ 1.0000030] audio0: slinear_le:16 2ch 48000Hz, blk 1920 bytes (10ms) for playback [ 1.0000030] spkr0 at audio0: PC Speaker (synthesized) [ 1.0000030] wsbell at spkr0 not configured [ 1.0000030] aaci0: interrupting on GIC irq 43 [ 1.0000030] plmmc0 at simplebus5 [ 1.0000030] plmmc0: interrupting on GIC irq 41 [ 1.0000030] sdmmc0 at plmmc0 [ 1.0000030] plkmi0 at simplebus5: PS2 controller [ 1.0000030] pckbd0 at plkmi0 (kbd slot) [ 1.0000030] wskbd0 at pckbd0 mux 1 [ 1.0000030] plkmi0: interrupting on GIC irq 44 [ 1.0000030] plkmi1 at simplebus5: PS2 controller [ 1.0000030] pms0 at plkmi1 (aux slot) [ 1.0000030] wsmouse0 at pms0 mux 0 [ 1.0000030] plkmi1: interrupting on GIC irq 45 [ 1.0000030] /smb@08000000/motherboard/iofpga@3,00000000/wdt@0f0000 at simplebus5 not configured [ 1.0000030] /smb@08000000/motherboard/iofpga@3,00000000/timer@110000 at simplebus5 not configured [ 1.0000030] /smb@08000000/motherboard/iofpga@3,00000000/timer@120000 at simplebus5 not configured [ 1.0000030] /smb@08000000/motherboard/iofpga@3,00000000/i2c@160000 at simplebus5 not configured [ 1.0000030] plrtc0 at simplebus5: RTC [ 1.0000030] /smb@08000000/motherboard/iofpga@3,00000000/compact-flash@1a0000 at simplebus5 not configured [ 1.0000030] entropy: WARNING: extracting entropy too early [ 1.2003366] ld0 at sdmmc0: <0xaa:0x5859:QEMU!:0x01:0xdeadbeef:0x062> [ 1.2192115] ld0: 2048 MB, 1040 cyl, 64 head, 63 sec, 512 bytes/sect x 4194304 sectors [ 1.2507177] ld0: 4-bit width, 12.000 MHz [ 1.3106373] boot device: ld0 [ 1.3317288] root on ld0a dumps on ld0b [ 1.3663084] root file system type: ffs [ 1.3981814] kern.module.path=/stand/evbarm/9.99.87/modules [ 1.4202920] WARNING: negative runtime; monotonic clock has gone backwards Mon Aug 2 02:39:51 UTC 2021 rcorder: file `/etc/rc.d/devpubd' is before unknown provision `zfs' Starting root file system check: /dev/rld0a: file system is clean; not checking Not resizing / (/dev/ld0a): already correct size Starting devpubd. Setting sysctl variables: ddb.onpanic: 1 -> 0 Starting file system checks: /dev/rld0e: 486 files, 31653 free (31653 clusters) rndctl: no entropy in seed Setting tty flags. Starting network. Hostname: armv7 IPv6 mode: host Configuring network interfaces:. Adding interface aliases:. Waiting for duplicate address detection to finish... Starting dhcpcd. Starting mdnsd. Building databases: dev, utmp, utmpx. wsconscfg: screen 1 is already configured wsconscfg: screen 2 is already configured wsconscfg: screen 3 is already configured rcorder: file `/etc/rc.d/devpubd' is before unknown provision `zfs' Starting syslogd. Mounting all file systems... Clearing temporary files. Creating a.out runtime link editor directory cache. Checking quotas: done. Setting securelevel: kern.securelevel: 0 -> 1 Starting virecover. Starting local daemons:. Updating motd. Starting ntpd. Starting sshd. Starting postfix. Starting inetd. Starting cron. Mon Aug 2 02:41:35 UTC 2021 NetBSD/evbarm (armv7) (constty) login: NetBSD/evbarm (armv7) (constty) login: root Aug 2 02:41:41 armv7 login: ROOT LOGIN (root) on tty constty Last login: Mon Aug 2 02:38:43 2021 on constty Copyright (c) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021 The NetBSD Foundation, Inc. All rights reserved. Copyright (c) 1982, 1986, 1989, 1991, 1993 The Regents of the University of California. All rights reserved. NetBSD 9.99.87 (GENERIC) #0: Mon Aug 2 02:22:46 UTC 2021 Welcome to NetBSD! This is a development snapshot of NetBSD for testing -- user beware! Bug reports: https://www.NetBSD.org/support/send-pr.html Donations to the NetBSD Foundation: https://www.NetBSD.org/donations/ We recommend that you create a non-root account and use su(1) for root access. armv7# exec /bin/sh armv7# PS1='anita-root-shell-prom''pt-1627872103.95351# ' anita-root-shell-prompt-1627872103.95351# df -k | sed 's/^/df-pre-test /'; mkdir /tmp/tests && cd /usr/tests && { atf-run; echo $? >/tmp/tests/test.status; } | tee /tmp/tests/test.tps | atf-report -o ticker:- -o xml:/tmp/tests/test.xml; (cd /tmp && for f in /usr/share/xsl/atf/tests-results.xsl /usr/share/xml/atf/tests- results.dtd /usr/share/examples/atf/tests-results.css; do cp $f tests/; done;); df -k | sed 's/^/df-post-test /'; ps -glaxww | sed 's/^/ps-post-test /'; vmstat -s; sh -c 'exit `cat /tmp/tests/test.status`' df-pre-test Filesystem 1K-blocks Used Avail %Cap Mounted on df-pre-test /dev/ld0a 1955768 823792 1034192 44% / df-pre-test /dev/ld0e 81269 49616 31653 61% /boot df-pre-test ptyfs 1 1 0 100% /dev/pts df-pre-test procfs 4 4 0 100% /proc df-pre-test tmpfs 32768 4 32764 0% /var/shm Tests root: /usr/tests bin/cat/t_cat (1/892): 3 test cases align: [3.390087s] Passed. nonexistent: [2.001038s] Passed. se_output: [2.901914s] Passed. [8.553936s] bin/cp/t_cp (2/892): 10 test cases dir_to_dir: [18.192673s] Passed. dir_to_file: [4.181722s] Passed. file_over_link: [2.839760s] Passed. file_to_file: [8.671299s] Passed. file_to_link: [4.490794s] Passed. file_to_linkdir: [4.170386s] Passed. files_to_dir: [4.188362s] Passed. link_over_file: [3.152407s] Passed. link_to_file: [4.912699s] Passed. linkdir_to_file: [5.036121s] Passed. [62.714082s] bin/date/t_date (3/892): 1 test cases overflow: [10.054098s] Passed. [10.186236s] bin/dd/t_dd (4/892): 3 test cases io: [13.881957s] Passed. length: [5.600425s] Passed. seek: [7.836426s] Passed. [27.688986s] bin/df/t_df (5/892): 2 test cases hflag: [3.067328s] Passed. normal: [3.418499s] Passed. [7.054657s] bin/expr/t_expr (6/892): 14 test cases arithmetic_ops: [4.675136s] Passed. basic_functional: [2.588551s] Passed. basic_math: [2.615888s] Passed. colon_vs_math: [2.904673s] Passed. compare_ops: [6.369868s] Passed. compare_ops_precedence: [3.120392s] Passed. gtkmm: [4.193168s] Passed. lang: [4.228132s] Passed. math_precedence: [1.443262s] Passed. multiply: [2.246784s] Passed. negative: [3.476346s] Passed. overflow: [12.556153s] Passed. precedence: [3.426292s] Passed. regex: [1.601596s] Passed. [56.655871s] bin/pax/t_pax (7/892): 1 test cases append: [7.531801s] Passed. [7.758500s] bin/ps/t_ps (8/892): 8 test cases default_columns: [28.490632s] Passed. duplicate_column: [6.524990s] Passed. minus_O: [6.542195s] Passed. minus_o: [10.502557s] Passed. override_heading_all_null: [7.111536s] Passed. override_heading_embedded_specials: [7.939482s] Passed. override_heading_simple: [7.756353s] Passed. override_heading_some_null: [10.554470s] Passed. [86.248127s] bin/sh/dotcmd/t_dotcmd (9/892): 192 test cases case_break_case: [2.880512s] Passed. case_break_compound: [4.045031s] Passed. case_break_file: [2.280964s] Passed. case_break_for: [2.112779s] Passed. case_break_func: [3.710446s] Passed. case_break_subshell: [4.396091s] Passed. case_break_until: [3.201183s] Passed. case_break_while: [3.017977s] Passed. case_continue_case: [4.317265s] Passed. case_continue_compound: [3.707157s] Passed. case_continue_file: [3.591733s] Passed. case_continue_for: [3.273467s] Passed. case_continue_func: [3.322997s] Passed. case_continue_subshell: [2.988603s] Passed. case_continue_until: [4.112751s] Passed. case_continue_while: [2.091734s] Passed. case_return_case: [3.018031s] Passed. case_return_compound: [3.750596s] Passed. case_return_file: [3.261388s] Passed. case_return_for: [3.694482s] Passed. case_return_func: [4.776861s] Passed. case_return_subshell: [3.947424s] Passed. case_return_until: [4.696047s] Passed. case_return_while: [2.465177s] Passed. compound_break_case: [3.240973s] Passed. compound_break_compound: [3.279402s] Passed. compound_break_file: [4.064477s] Passed. compound_break_for: [4.220060s] Passed. compound_break_func: [2.885563s] Passed. compound_break_subshell: [3.456742s] Passed. compound_break_until: [2.479553s] Passed. compound_break_while: [3.191182s] Passed. compound_continue_case: [4.292205s] Passed. compound_continue_compound: [2.724017s] Passed. compound_continue_file: [3.002018s] Passed. compound_continue_for: [3.066462s] Passed. compound_continue_func: [4.190289s] Passed. compound_continue_subshell: [4.665734s] Passed. compound_continue_until: [3.576905s] Passed. compound_continue_while: [2.765726s] Passed. compound_return_case: [3.637628s] Passed. compound_return_compound: [3.669263s] Passed. compound_return_file: [2.706511s] Passed. compound_return_for: [3.318158s] Passed. compound_return_func: [3.824729s] Passed. compound_return_subshell: [3.264887s] Passed. compound_return_until: [2.960280s] Passed. compound_return_while: [2.946070s] Passed. file_break_case: [3.324816s] Passed. file_break_compound: [4.755360s] Passed. file_break_file: [2.834722s] Passed. file_break_for: [3.490697s] Passed. file_break_func: [4.209639s] Passed. file_break_subshell: [3.075973s] Passed. file_break_until: [3.381323s] Passed. file_break_while: [2.427806s] Passed. file_continue_case: [2.472679s] Passed. file_continue_compound: [2.845342s] Passed. file_continue_file: [2.924741s] Passed. file_continue_for: [3.529650s] Passed. file_continue_func: [3.346019s] Passed. file_continue_subshell: [3.634071s] Passed. file_continue_until: [2.570346s] Passed. file_continue_while: [5.119439s] Passed. file_return_case: [3.010086s] Passed. file_return_compound: [3.886243s] Passed. file_return_file: [4.115865s] Passed. file_return_for: [3.121410s] Passed. file_return_func: [4.147738s] Passed. file_return_subshell: [5.283066s] Passed. file_return_until: [2.767252s] Passed. file_return_while: [4.598483s] Passed. for_break_case: [3.741110s] Passed. for_break_compound: [2.694363s] Passed. for_break_file: [3.728910s] Passed. for_break_for: [3.105415s] Passed. for_break_func: [3.196307s] Passed. for_break_subshell: [3.343628s] Passed. for_break_until: [3.356685s] Passed. for_break_while: [4.059281s] Passed. for_continue_case: [4.167965s] Passed. for_continue_compound: [2.513956s] Passed. for_continue_file: [3.413081s] Passed. for_continue_for: [2.965624s] Passed. for_continue_func: [3.542336s] Passed. for_continue_subshell: [2.880850s] Passed. for_continue_until: [2.502917s] Passed. for_continue_while: [2.692312s] Passed. for_return_case: [2.969740s] Passed. for_return_compound: [3.803714s] Passed. for_return_file: [3.467532s] Passed. for_return_for: [3.344932s] Passed. for_return_func: [2.597013s] Passed. for_return_subshell: [3.650967s] Passed. for_return_until: [2.787522s] Passed. for_return_while: [4.097972s] Passed. func_break_case: [4.251803s] Passed. func_break_compound: [3.784066s] Passed. func_break_file: [3.240226s] Passed. func_break_for: [3.384207s] Passed. func_break_func: [3.520733s] Passed. func_break_subshell: [4.059286s] Passed. func_break_until: [3.025000s] Passed. func_break_while: [3.033998s] Passed. func_continue_case: [3.315400s] Passed. func_continue_compound: [3.741945s] Passed. func_continue_file: [3.995237s] Passed. func_continue_for: [2.799334s] Passed. func_continue_func: [2.747973s] Passed. func_continue_subshell: [3.375867s] Passed. func_continue_until: [2.646939s] Passed. func_continue_while: [3.511662s] Passed. func_return_case: [2.921238s] Passed. func_return_compound: [3.038348s] Passed. func_return_file: [3.642886s] Passed. func_return_for: [4.198473s] Passed. func_return_func: [3.563997s] Passed. func_return_subshell: [2.538136s] Passed. func_return_until: [3.069217s] Passed. func_return_while: [3.906744s] Passed. subshell_break_case: [4.109974s] Passed. subshell_break_compound: [3.458071s] Passed. subshell_break_file: [3.453684s] Passed. subshell_break_for: [3.569222s] Passed. subshell_break_func: [3.464206s] Passed. subshell_break_subshell: [3.429388s] Passed. subshell_break_until: [4.326958s] Passed. subshell_break_while: [3.679203s] Passed. subshell_continue_case: [3.403523s] Passed. subshell_continue_compound: [3.453846s] Passed. subshell_continue_file: [3.718644s] Passed. subshell_continue_for: [2.623540s] Passed. subshell_continue_func: [3.753643s] Passed. subshell_continue_subshell: [2.666499s] Passed. subshell_continue_until: [5.254181s] Passed. subshell_continue_while: [2.725647s] Passed. subshell_return_case: [3.254585s] Passed. subshell_return_compound: [3.359389s] Passed. subshell_return_file: [3.613044s] Passed. subshell_return_for: [3.075167s] Passed. subshell_return_func: [4.522034s] Passed. subshell_return_subshell: [2.963967s] Passed. subshell_return_until: [3.825305s] Passed. subshell_return_while: [3.017932s] Passed. until_break_case: [3.172716s] Passed. until_break_compound: [3.361124s] Passed. until_break_file: [4.036262s] Passed. until_break_for: [3.549457s] Passed. until_break_func: [2.860885s] Passed. until_break_subshell: [4.225090s] Passed. until_break_until: [2.942208s] Passed. until_break_while: [2.451727s] Passed. until_continue_case: [4.568148s] Passed. until_continue_compound: [2.883948s] Passed. until_continue_file: [4.322718s] Passed. until_continue_for: [2.768007s] Passed. until_continue_func: [2.219085s] Passed. until_continue_subshell: [2.420871s] Passed. until_continue_until: [2.341479s] Passed. until_continue_while: [2.357612s] Passed. until_return_case: [2.595369s] Passed. until_return_compound: [2.530059s] Passed. until_return_file: [2.180856s] Passed. until_return_for: [2.151915s] Passed. until_return_func: [2.089805s] Passed. until_return_subshell: [2.303039s] Passed. until_return_until: [2.063847s] Passed. until_return_while: [2.265821s] Passed. while_break_case: [2.105365s] Passed. while_break_compound: [2.982768s] Passed. while_break_file: [2.359450s] Passed. while_break_for: [2.199463s] Passed. while_break_func: [2.406453s] Passed. while_break_subshell: [2.340455s] Passed. while_break_until: [2.264756s] Passed. while_break_while: [4.398963s] Passed. while_continue_case: [2.458743s] Passed. while_continue_compound: [2.550480s] Passed. while_continue_file: [2.946992s] Passed. while_continue_for: [4.015477s] Passed. while_continue_func: [2.211766s] Passed. while_continue_subshell: [2.435596s] Passed. while_continue_until: [2.426107s] Passed. while_continue_while: [2.315833s] Passed. while_return_case: [2.663940s] Passed. while_return_compound: [2.311412s] Passed. while_return_file: [2.249249s] Passed. while_return_for: [2.346472s] Passed. while_return_func: [2.266499s] Passed. while_return_subshell: [2.451203s] Passed. while_return_until: [2.287856s] Passed. while_return_while: [2.744186s] Passed. [640.937284s] bin/sh/t_arith (10/892): 33 test cases constants: [19.467113s] Passed. do_unary_minus: [8.706939s] Passed. do_unary_not: [9.239236s] Passed. do_unary_plus: [6.545128s] Passed. do_unary_tilde: [5.827240s] Passed. elementary_add: [11.951879s] Passed. elementary_div: [11.211469s] Passed. elementary_eq: [14.584147s] Passed. elementary_ge: [6.233042s] Passed. elementary_gt: [12.382808s] Passed. elementary_le: [9.723399s] Passed. elementary_lt: [9.478346s] Passed. elementary_mul: [12.481848s] Passed. elementary_ne: [11.589156s] Passed. elementary_rem: [15.462732s] Passed. elementary_shl: [9.463192s] Passed. elementary_shr: [11.860622s] Passed. elementary_sub: [10.976051s] Passed. fiddle_bits_and: [8.284518s] Passed. fiddle_bits_or: [9.301522s] Passed. fiddle_bits_xor: [7.989353s] Passed. logical_and: [7.692309s] Passed. logical_or: [7.235595s] Passed. make_selection: [7.898928s] Passed. nested_arith: [8.418372s] Passed. operator_precedence: [26.340950s] Passed. optional_comma: [7.793812s] Passed. parentheses: [22.724389s] Passed. var_assign: [23.569752s] Passed. var_postdec: [11.337006s] Passed. var_postinc: [11.104001s] Passed. var_predec: [11.789703s] Passed. var_preinc: [10.739676s] Passed. [382.686047s] bin/sh/t_builtins (11/892): 31 test cases cd_pwd: [2.339464s] Passed. colon: [4.025957s] Passed. echo: [37.990299s] Passed. eval: [17.182757s] Passed. exec: [4.101278s] Passed. export: [20.772689s] Passed. export_nbsd: [17.198078s] Passed. fdflags: [2.024419s] Passed. fdflags__s: [1.907835s] Passed. fdflags__v: [2.008444s] Passed. fdflags__v_s: [2.293385s] Passed. fdflags_multiple_fd: [2.082177s] Passed. fdflags_names_abbreviated: [1.970777s] Passed. fdflags_one_flag_at_a_time: [2.043107s] Passed. fdflags_save_restore: [1.947601s] Passed. fdflags_xx_errors: [1.953162s] Passed. getopts: [2.372296s] Passed. hash: [2.077736s] Passed. jobid: [1.790672s] Passed. jobs: [9.665436s] Passed. let: [1.938645s] Passed. local: [2.404301s] Passed. read: [2.286273s] Passed. readonly: [15.328519s] Passed. readonly_nbsd: [11.454691s] Passed. setvar: [4.848289s] Passed. true_false: [5.575975s] Passed. type: [2.082626s] Passed. ulimit: [2.004068s] Passed. umask: [216.755213s] Passed. unset: [2.146157s] Passed. [407.478364s] bin/sh/t_cmdsub (12/892): 26 test cases a_basic_cmdsub: [14.850311s] Passed. b_basic_backticks: [13.641020s] Passed. c_nested_cmdsub: [4.186650s] Passed. d_nested_backticks: [4.002149s] Passed. e_perverse_mixing: [5.652116s] Passed. f_redirect_in_cmdsub: [6.922908s] Passed. g_redirect_in_backticks: [6.473604s] Passed. h_vars_in_cmdsub: [9.746442s] Passed. i_vars_in_backticks: [8.336738s] Passed. j_cmdsub_in_varexpand: [4.797206s] Passed. k_backticks_in_varexpand: [4.350875s] Passed. l_arithmetic_in_cmdsub: [4.060303s] Passed. m_arithmetic_in_backticks: [3.848596s] Passed. n_cmdsub_in_arithmetic: [4.017978s] Passed. o_backticks_in_arithmetic: [3.668036s] Passed. p_cmdsub_in_heredoc: [3.012716s] Passed. q_backticks_in_heredoc: [2.306842s] Passed. r_heredoc_in_cmdsub: [5.060682s] Passed. s_heredoc_in_backticks: [3.341304s] Passed. t_nested_cmdsubs_in_heredoc: [5.754153s] Passed. u_nested_backticks_in_heredoc: [5.762642s] Passed. v_cmdsub_paren_tests: [14.517468s] Passed. w_heredoc_outside_cmdsub: [4.574961s] Passed. x_heredoc_outside_backticks: [3.083343s] Passed. y_many_embedded_nl: [15.348637s] Passed. z_absurd_heredoc_cmdsub_combos: [5.489528s] Passed. [169.847748s] bin/sh/t_evaltested (13/892): 1 test cases evaltested: [1.642071s] Passed. [1.760531s] bin/sh/t_exit (14/892): 9 test cases background: [3.258441s] Passed. function: [2.086375s] Passed. readout: [3.452856s] Passed. simple_exit: [14.432449s] Passed. subshell_background: [3.357360s] Passed. subshell_exit: [14.698765s] Passed. trap_subshell: [2.243170s] Passed. trap_zero__explicit_exit: [1.956364s] Passed. trap_zero__implicit_exit: [1.876251s] Passed. [48.277062s] bin/sh/t_expand (15/892): 27 test cases alternative: [90.346939s] Passed. arithmetic: [3.790593s] Passed. assign: [123.873020s] Passed. default: [85.244636s] Passed. dollar_at: [4.710624s] Passed. dollar_at_empty_and_conditional: [54.658867s] Passed. dollar_at_in_field_split_context: [14.564460s] Passed. dollar_at_unquoted_or_conditional: [5.511213s] Passed. dollar_at_with_text: [16.332373s] Passed. dollar_hash: [57.485468s] Passed. dollar_star: [14.563033s] Passed. dollar_star_in_quoted_word: [15.128431s] Passed. dollar_star_in_word: [12.810017s] Passed. dollar_star_in_word_empty_ifs: [9.184131s] Passed. dollar_star_with_empty_ifs: [11.279914s] Passed. embedded_nl: [6.169476s] Passed. error: [8.583143s] Passed. iteration_on_null_or_missing_parameter: [1.872578s] Passed. iteration_on_null_or_null_parameter: [1.852955s] Passed. iteration_on_null_parameter: [1.918833s] Passed. iteration_on_quoted_null_parameter: [1.875207s] Passed. shell_params: [10.605232s] Passed. strip: [11.105684s] Passed. tilde: [4.316995s] Passed. var_with_embedded_cmdsub: [25.924195s] Passed. varpattern_backslashes: [2.581125s] Passed. wrap_strip: [8.730097s] Passed. [607.897075s] bin/sh/t_fsplit (16/892): 9 test cases default_val: [12.963795s] Passed. dollar_at: [10.827539s] Passed. for: [1.810254s] Passed. ifs: [5.180603s] Passed. ifs_alpha: [3.594513s] Passed. quote: [2.301480s] Passed. replacement_val: [17.379291s] Passed. split_arith: [11.418288s] Passed. var_length: [4.784883s] Passed. [71.247901s] bin/sh/t_here (17/892): 9 test cases do_simple: [16.403338s] Passed. end_markers: [171.412243s] Passed. incomplete: [12.161828s] Passed. lineends: [8.477578s] Passed. multiple: [5.100967s] Passed. nested: [3.918928s] Passed. quoting: [6.990658s] Passed. side_effects: [2.130324s] Passed. vicious: [3.505251s] Passed. [231.042238s] bin/sh/t_input (18/892): 1 test cases nul_elimination: [202.770547s] Passed. [202.933015s] bin/sh/t_option (19/892): 14 test cases Option_switching: [33.707854s] Passed. pipefail: [17.569850s] Passed. restore_local_opts: [3.935645s] Passed. set_C: [9.032546s] Passed. set_X: [24.990553s] Passed. set_a: [5.295337s] Passed. set_e: [4.807639s] Passed. set_f: [5.754846s] Passed. set_n: [12.395849s] Passed. set_u: [12.086425s] Passed. set_v: [6.276602s] Passed. set_x: [5.458796s] Passed. vi_emacs_VE_toggle: [5.684823s] Passed. xx_bogus: [1.835062s] Passed. [150.898410s] bin/sh/t_patterns (20/892): 3 test cases case_matching: [157.025180s] Passed. filename_expansion: [881.766875s] Failed: Test case timed out after 300 seconds var_substring_matching: [61.078012s] Passed. [1405.351203s] bin/sh/t_redir (21/892): 13 test cases basic_test_method_test: [8.971692s] Passed. do_input_redirections: [17.306749s] Passed. do_output_redirections: [15.289378s] Passed. do_redirect_input_output: [7.749173s] Passed. fd_redirections: [24.195882s] Passed. incorrect_redirections: [12.964329s] Passed. local_redirections: [7.117965s] Passed. named_fd_redirections: [8.978612s] Passed. redir_here_doc: [2.250132s] Passed. redir_in_case: [5.196470s] Passed. subshell_redirections: [6.185355s] Passed. ulimit_redirection_interaction: [4.434690s] Passed. validate_fn_redirects: [76.366063s] Passed. [201.695149s] bin/sh/t_redircloexec (22/892): 7 test cases compound_redir_open: [2.321393s] Passed. exec_redir_closed: [6.184103s] Passed. exec_redir_open: [7.088482s] Passed. loop_redir_open: [2.529464s] Passed. posix_exec_redir: [6.563166s] Passed. simple_redir_open: [2.619833s] Passed. subshell_redir_open: [2.731599s] Passed. [30.660014s] bin/sh/t_set_e (23/892): 1 test cases all: [64.516400s] Passed. [64.696540s] bin/sh/t_shift (24/892): 5 test cases basic_shift_test: [11.466675s] Passed. excessive_shift: [9.236726s] Passed. function_shift: [1.183750s] Passed. non_numeric_shift: [7.630985s] Passed. too_many_args: [5.802185s] Passed. [35.802038s] bin/sh/t_syntax (25/892): 21 test cases a_basic_tokenisation: [7.949645s] Passed. b_comments: [17.425746s] Passed. c_line_wrapping: [24.869897s] Passed. d_cstrings: [20.265754s] Passed. f_redirects: [18.921074s] Passed. g_variable_syntax: [490.692832s] Passed. h_var_assign: [13.114121s] Passed. i_pipelines: [270.581521s] Passed. j_and_or_lists: [76.867344s] Passed. k_lists: [119.535941s] Passed. l_async_lists: [124.166295s] Passed. m_compound_lists: [25.606488s] Passed. q_for_loop: [30.254435s] Passed. r_case: [54.118997s] Passed. s_if: [34.880319s] Passed. t_loops: [13.538643s] Passed. u_case_cont: [19.341566s] Passed. x_functions: [20.279937s] Passed. z_PR_48498: [29.762295s] Passed. z_PR_52426: [25.197059s] Passed. z_PR_53712: [4.874039s] Passed. [1444.862733s] bin/sh/t_ulimit (26/892): 1 test cases limits: [5.648494s] Passed. [5.788752s] bin/sh/t_varquote (27/892): 3 test cases all: [6.414947s] Passed. default_assignment_with_arith: [6.404951s] Passed. nested_quotes_multiword: [4.581076s] Passed. [17.753575s] bin/sh/t_varval (28/892): 5 test cases aaa: [14.657579s] Passed. assignment: [58.071154s] Passed. cmdline: [50.143373s] Passed. read: [48.344173s] Passed. redirect: [28.142046s] Passed. [217.408208s] bin/sh/t_wait (29/892): 4 test cases basic_wait: [16.389150s] Passed. individual: [7.700686s] Passed. jobs: [16.927566s] Passed. kill: [12.787571s] Passed. [54.378166s] bin/sleep/t_sleep (30/892): 3 test cases fraction: [8.193107s] Passed. hex: [8.680620s] Passed. nonnumeric: [7.200090s] Passed. [24.359507s] bin/tar/t_tar (31/892): 2 test cases append: [5.392236s] Passed. rd_base256_size: [5.958295s] Passed. [11.872126s] dev/cgd/t_cgd_3des (32/892): 2 test cases cgd_3des_cbc_192_encblkno1: [1.713866s] Passed. cgd_3des_cbc_192_encblkno8: [2.003429s] Passed. [3.868424s] dev/cgd/t_cgd_adiantum (33/892): 1 test cases cgd_adiantum: [1.276228s] Passed. [1.392672s] dev/cgd/t_cgd_aes (34/892): 8 test cases cgd_aes_cbc_128_encblkno1: [1.707115s] Passed. cgd_aes_cbc_128_encblkno8: [1.688590s] Passed. cgd_aes_cbc_192_encblkno1: [1.558148s] Passed. cgd_aes_cbc_192_encblkno8: [1.333952s] Passed. cgd_aes_cbc_256_encblkno1: [1.317594s] Passed. cgd_aes_cbc_256_encblkno8: [1.286432s] Passed. cgd_aes_xts_256: [1.391125s] Passed. cgd_aes_xts_512: [1.391580s] Passed. [12.349672s] dev/cgd/t_cgd_blowfish (35/892): 6 test cases cgd_bf_cbc_128_encblkno1: [1.260136s] Passed. cgd_bf_cbc_128_encblkno8: [1.280503s] Passed. cgd_bf_cbc_256_encblkno1: [1.668593s] Passed. cgd_bf_cbc_256_encblkno8: [1.442559s] Passed. cgd_bf_cbc_448_encblkno1: [1.405775s] Passed. cgd_bf_cbc_448_encblkno8: [1.325181s] Passed. [8.888852s] dev/cgd/t_cgd (36/892): 7 test cases basic: [10.552554s] Passed. unaligned_write: [12.771514s] Passed. vmeth_failure_disklabel: [9.179812s] Passed. vmeth_failure_ffs: [8.296918s] Passed. vmeth_failure_gpt: [7.592085s] Passed. vmeth_failure_mbr: [8.072773s] Passed. wrongpass: [11.040086s] Passed. [68.509494s] dev/clock_subr/t_clock_subr (37/892): 2 test cases secs_to_ymdhms: [0.310785s] Passed. ymdhms_to_secs: [0.540803s] Passed. [1.086217s] dev/fss/t_fss (38/892): 1 test cases basic: [15.336077s] Passed. [15.662243s] dev/raidframe/t_raid (39/892): 7 test cases old_numrows_config: [6.833946s] Passed. raid1_comp0fail: [19.430324s] Passed. raid1_compfail: [23.194664s] Passed. raid1_normal: [21.845544s] Passed. raid5_compfail: [24.310300s] Passed. raid5_normal: [24.933044s] Passed. smalldisk: [6.888098s] Passed. [130.015210s] dev/audio/t_audio (40/892): 160 test cases AUDIO_ERROR_RDONLY: [2.857818s] Skipped: Line 6151: Operation not allowed on this hardware property AUDIO_ERROR_RDWR: [2.602020s] Passed. AUDIO_ERROR_WRONLY: [2.657496s] Passed. AUDIO_GETENC_error: [3.030047s] Passed. AUDIO_GETENC_range: [2.984878s] Passed. AUDIO_GETINFO_eof: [2.772403s] Passed. AUDIO_SETFD_RDONLY: [2.652070s] Skipped: Line 4490: This test is for recordable device AUDIO_SETFD_RDWR: [2.683527s] Skipped: Line 4608: This test is only for full-duplex device AUDIO_SETFD_WRONLY: [3.015831s] Passed. AUDIO_SETINFO_channels: [2.786576s] Passed. AUDIO_SETINFO_gain: [2.835630s] Passed. AUDIO_SETINFO_gain_balance: [5.116785s] Skipped: Line 5778: The test requires changeable gain and changeable balance AUDIO_SETINFO_mode_RDONLY_0: [2.765830s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_1: [3.212424s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_2: [2.945394s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_3: [3.139975s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_4: [2.815095s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_5: [2.919747s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_6: [3.286428s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_7: [3.079601s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDONLY_8: [3.221420s] Skipped: Line 4819: Operation not allowed on this hardware property AUDIO_SETINFO_mode_RDWR_0: [2.906352s] Passed. AUDIO_SETINFO_mode_RDWR_1: [2.853482s] Passed. AUDIO_SETINFO_mode_RDWR_2: [3.253659s] Passed. AUDIO_SETINFO_mode_RDWR_3: [2.870618s] Passed. AUDIO_SETINFO_mode_RDWR_4: [2.848823s] Passed. AUDIO_SETINFO_mode_RDWR_5: [2.860247s] Passed. AUDIO_SETINFO_mode_RDWR_6: [2.785689s] Passed. AUDIO_SETINFO_mode_RDWR_7: [3.238647s] Passed. AUDIO_SETINFO_mode_RDWR_8: [2.672661s] Passed. AUDIO_SETINFO_mode_WRONLY_0: [2.841323s] Passed. AUDIO_SETINFO_mode_WRONLY_1: [3.922608s] Passed. AUDIO_SETINFO_mode_WRONLY_2: [2.755944s] Passed. AUDIO_SETINFO_mode_WRONLY_3: [3.157317s] Passed. AUDIO_SETINFO_mode_WRONLY_4: [2.618856s] Passed. AUDIO_SETINFO_mode_WRONLY_5: [2.604247s] Passed. AUDIO_SETINFO_mode_WRONLY_6: [2.730506s] Passed. AUDIO_SETINFO_mode_WRONLY_7: [2.962987s] Passed. AUDIO_SETINFO_mode_WRONLY_8: [2.721064s] Passed. AUDIO_SETINFO_params_set_RDONLY_0: [2.619385s] Skipped: Line 5034: Operation not allowed on this hardware property AUDIO_SETINFO_params_set_RDONLY_1: [2.602890s] Skipped: Line 5034: Operation not allowed on this hardware property AUDIO_SETINFO_params_set_RDWR_0: [2.784624s] Skipped: Line 5040: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_params_set_RDWR_1: [3.332472s] Skipped: Line 5040: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_params_set_RDWR_2: [3.159249s] Skipped: Line 5040: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_params_set_RDWR_3: [2.610407s] Skipped: Line 5040: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_params_set_WRONLY_0: [2.642017s] Passed. AUDIO_SETINFO_params_set_WRONLY_1: [3.687031s] Passed. AUDIO_SETINFO_params_set_WRONLY_2: [3.318738s] Passed. AUDIO_SETINFO_params_set_WRONLY_3: [2.788708s] Passed. AUDIO_SETINFO_params_simul: [2.748432s] Passed. AUDIO_SETINFO_pause_RDONLY_0: [2.794615s] Skipped: Line 5410: Operation not allowed on this hardware property AUDIO_SETINFO_pause_RDONLY_1: [2.654897s] Skipped: Line 5410: Operation not allowed on this hardware property AUDIO_SETINFO_pause_RDWR_0: [3.140329s] Skipped: Line 5416: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_pause_RDWR_1: [2.735356s] Skipped: Line 5416: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_pause_RDWR_2: [2.687719s] Skipped: Line 5416: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_pause_RDWR_3: [2.730120s] Skipped: Line 5416: This is the same with O_WRONLY on half-duplex AUDIO_SETINFO_pause_WRONLY_0: [2.664207s] Passed. AUDIO_SETINFO_pause_WRONLY_1: [3.253497s] Passed. AUDIO_SETINFO_pause_WRONLY_2: [2.825007s] Passed. AUDIO_SETINFO_pause_WRONLY_3: [2.811543s] Passed. AUDIO_SETINFO_sample_rate: [2.730665s] Passed. AUDIO_SETINFO_sample_rate_0: [2.581039s] Passed. AUDIO_WSEEK: [3.171227s] Passed. FIOASYNC_play_signal: [3.031471s] Passed. FIOASYNC_rec_signal: [2.883152s] Skipped: Line 4268: This test is only for recordable device FIOASYNC_reset: [2.823390s] Passed. audioctl_kqueue: [2.750784s] Passed. audioctl_open_1_RDONLY_RDONLY: [3.190936s] Skipped: Line 6194: This test is for recordable device audioctl_open_1_RDONLY_RDWR: [2.778275s] Skipped: Line 6194: This test is for recordable device audioctl_open_1_RDONLY_RWONLY: [2.776206s] Skipped: Line 6194: This test is for recordable device audioctl_open_1_RDWR_RDONLY: [2.829965s] Passed. audioctl_open_1_RDWR_RDWR: [2.775931s] Passed. audioctl_open_1_RDWR_RWONLY: [3.263072s] Passed. audioctl_open_1_WRONLY_RDONLY: [3.397692s] Passed. audioctl_open_1_WRONLY_RDWR: [2.724129s] Passed. audioctl_open_1_WRONLY_RWONLY: [2.717327s] Passed. audioctl_open_2_RDONLY_RDONLY: [2.687977s] Skipped: Line 6237: This test is for recordable device audioctl_open_2_RDONLY_RDWR: [3.131485s] Skipped: Line 6237: This test is for recordable device audioctl_open_2_RDONLY_RWONLY: [2.845295s] Skipped: Line 6237: This test is for recordable device audioctl_open_2_RDWR_RDONLY: [2.906811s] Passed. audioctl_open_2_RDWR_RDWR: [3.123561s] Passed. audioctl_open_2_RDWR_RWONLY: [2.722726s] Passed. audioctl_open_2_WRONLY_RDONLY: [3.174721s] Passed. audioctl_open_2_WRONLY_RDWR: [2.875795s] Passed. audioctl_open_2_WRONLY_RWONLY: [2.717687s] Passed. audioctl_open_simul: [2.704162s] Passed. audioctl_poll: [2.933940s] Passed. audioctl_rw_RDONLY: [3.119291s] Passed. audioctl_rw_RDWR: [2.796525s] Passed. audioctl_rw_WRONLY: [2.571549s] Passed. drain_incomplete: [2.602656s] Passed. drain_onrec: [2.650074s] Skipped: Line 2555: This test is only for recordable device drain_pause: [3.276689s] Passed. ioctl_while_write: [6.730034s] Passed. kqueue_empty: [2.697132s] Passed. kqueue_full: [2.968435s] Passed. kqueue_hiwat: [3.349832s] Passed. kqueue_mode_RDONLY_READ: [3.044048s] Skipped: Line 3544: Operation not allowed on this hardware property kqueue_mode_RDONLY_WRITE: [2.758048s] Skipped: Line 3544: Operation not allowed on this hardware property kqueue_mode_RDWR_READ: [3.126645s] Passed. kqueue_mode_RDWR_WRITE: [2.791685s] Passed. kqueue_mode_WRONLY_READ: [3.537765s] Passed. kqueue_mode_WRONLY_WRITE: [2.707709s] Passed. open_audio_RDONLY: [2.608216s] Passed. open_audio_RDWR: [2.603262s] Passed. open_audio_WRONLY: [2.779999s] Passed. open_audioctl_RDONLY: [3.705860s] Passed. open_audioctl_RDWR: [2.857326s] Passed. open_audioctl_WRONLY: [2.755785s] Passed. open_audioctl_sticky: [2.747280s] Passed. open_mode_RDONLY: [2.725712s] Passed. open_mode_RDWR: [3.153116s] Passed. open_mode_WRONLY: [2.734214s] Passed. open_simul_RDONLY_RDONLY: [2.734990s] Skipped: Line 1838: Operation not allowed on this hardware property open_simul_RDONLY_RDWR: [2.679136s] Skipped: Line 1838: Operation not allowed on this hardware property open_simul_RDONLY_WRONLY: [2.542603s] Skipped: Line 1838: Operation not allowed on this hardware property open_simul_RDWR_RDONLY: [3.218610s] Skipped: Line 1838: Operation not allowed on this hardware property open_simul_RDWR_RDWR: [2.846933s] Passed. open_simul_RDWR_WRONLY: [2.806460s] Passed. open_simul_WRONLY_RDONLY: [2.892336s] Skipped: Line 1838: Operation not allowed on this hardware property open_simul_WRONLY_RDWR: [2.808373s] Passed. open_simul_WRONLY_WRONLY: [3.535478s] Passed. open_sound_RDONLY: [3.111615s] Passed. open_sound_RDWR: [3.069648s] Passed. open_sound_WRONLY: [2.914052s] Passed. open_sound_sticky: [2.822790s] Passed. poll_in_open_audio: [3.243649s] Skipped: Line 3392: This test is only for recordable device poll_in_open_audioctl: [2.843426s] Skipped: Line 3392: This test is only for recordable device poll_in_open_sound: [2.783944s] Skipped: Line 3392: This test is only for recordable device poll_in_simul: [2.649594s] Skipped: Line 3470: This test is only for full-duplex device poll_mode_RDONLY_IN: [2.678550s] Skipped: Line 2921: Operation not allowed on this hardware property poll_mode_RDONLY_INOUT: [3.234724s] Skipped: Line 2921: Operation not allowed on this hardware property poll_mode_RDONLY_OUT: [2.864384s] Skipped: Line 2921: Operation not allowed on this hardware property poll_mode_RDWR_IN: [3.059964s] Passed. poll_mode_RDWR_INOUT: [2.951504s] Passed. poll_mode_RDWR_OUT: [2.928612s] Passed. poll_mode_WRONLY_IN: [5.143131s] Passed. poll_mode_WRONLY_INOUT: [3.479962s] Passed. poll_mode_WRONLY_OUT: [3.186505s] Passed. poll_out_empty: [3.594719s] Passed. poll_out_full: [3.214986s] Passed. poll_out_hiwat: [2.801330s] Passed. rdwr_fallback_RDONLY: [2.658423s] Skipped: Line 2254: This test is only for bi-directional device rdwr_fallback_RDWR: [2.671101s] Skipped: Line 2254: This test is only for bi-directional device rdwr_fallback_WRONLY: [2.669624s] Skipped: Line 2254: This test is only for bi-directional device rdwr_simul: [3.747292s] Skipped: Line 2438: This test is only for full-duplex device rdwr_two_RDONLY_RDONLY: [2.608362s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_RDONLY_RDWR: [2.456898s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_RDONLY_WRONLY: [3.678809s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_RDWR_RDONLY: [2.586420s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_RDWR_RDWR: [3.057223s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_RDWR_WRONLY: [3.049311s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_WRONLY_RDONLY: [2.849876s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_WRONLY_RDWR: [2.895615s] Skipped: Line 2358: This test is only for bi-directional device rdwr_two_WRONLY_WRONLY: [2.722382s] Skipped: Line 2358: This test is only for bi-directional device read: [2.992968s] Passed. rept_read: [2.713346s] Skipped: Line 2208: This test is only for recordable device rept_write: [2.594542s] Skipped: Line 2167: not yet write_PLAY: [2.759421s] Passed. write_PLAY_ALL: [7.523381s] Passed. [493.427168s] dev/audio/t_pad (41/892): 1 test cases pad_output: [3.167315s] Passed. [3.296165s] dev/md/t_md (42/892): 1 test cases basic: [8.838270s] Passed. [9.026040s] dev/scsipi/t_cd (43/892): 1 test cases noisyeject: [1.162133s] Passed. [1.251299s] dev/sysmon/t_swwdog (44/892): 3 test cases disarm: [5.805380s] Passed. panic: [3.702044s] Passed. reboot: [3.019290s] Passed. [12.843367s] dev/sysmon/t_swsensor (45/892): 5 test cases alarm_sensor: [83.490083s] Passed. entropy_interrupt_sensor: [56.954533s] Passed. entropy_polled_sensor: [96.215382s] Passed. limit_sensor: [79.491047s] Passed. simple_sensor: [54.967834s] Passed. [372.310291s] dev/usb/t_hid (46/892): 2 test cases khid: [0.891607s] Passed. khid_parse_just_pop: [1.036587s] Passed. [2.100532s] games/t_factor (47/892): 12 test cases h_loop1: [2.847032s] Passed. h_loop2: [3.363258s] Passed. h_overflow1: [2.318199s] Passed. h_overflow2: [2.352751s] Passed. hx_loop1: [2.341582s] Passed. hx_loop2: [3.049157s] Passed. hx_overflow1: [2.388817s] Passed. hx_overflow2: [2.193566s] Passed. loop1: [2.826381s] Passed. loop2: [3.270920s] Passed. overflow1: [2.221939s] Passed. overflow2: [2.253734s] Passed. [32.774071s] include/machine/t_bswap (48/892): 6 test cases bswap16_basic: [0.337493s] Passed. bswap16_unconst: [0.322252s] Passed. bswap32_basic: [0.302335s] Passed. bswap32_unconst: [0.366814s] Passed. bswap64_basic: [0.307763s] Passed. bswap64_unconst: [0.289511s] Passed. [2.430760s] include/sys/t_bitops (49/892): 6 test cases bitmap_basic: [0.348575s] Passed. fast_divide32: [0.303177s] Passed. ffsfls: [0.284717s] Passed. ilog2_32bit: [0.292136s] Passed. ilog2_64bit: [0.321370s] Passed. ilog2_const: [0.327127s] Passed. [2.341502s] include/sys/t_bootblock (50/892): 2 test cases mbr_partition: [0.295260s] Passed. mbr_sector: [0.300936s] Passed. [0.746213s] include/sys/t_cdefs (51/892): 8 test cases sissigned: [0.298933s] Passed. stypefit: [0.352546s] Passed. stypemask: [0.296000s] Passed. stypeminmax: [0.290491s] Passed. uissigned: [0.297713s] Passed. utypefit: [0.295216s] Passed. utypemask: [0.286290s] Passed. utypeminmax: [0.284853s] Passed. [3.035032s] include/sys/t_list (52/892): 1 test cases list_move: [0.303148s] Passed. [0.381473s] include/sys/t_pslist (53/892): 1 test cases misc: [0.283215s] Passed. [0.364508s] include/sys/t_tree (54/892): 1 test cases tree_rbstress: [1.360361s] Passed. [1.436851s] include/sys/t_types (55/892): 3 test cases types_limits: [0.294111s] Passed. types_signed: [0.306076s] Passed. types_unsigned: [0.278520s] Passed. [1.100008s] include/sys/t_socket (56/892): 3 test cases cmsg_sendfd: [1.053641s] Passed. cmsg_sendfd_bounds: [0.982764s] Passed. sock_cloexec: [1.014077s] Passed. [3.288252s] include/t_bitstring (57/892): 6 test cases bits_27: [0.679095s] Passed. bits_32: [0.605123s] Passed. bits_49: [0.962066s] Passed. bits_64: [0.864329s] Passed. bits_67: [0.665891s] Passed. bits_8: [0.653377s] Passed. [5.512649s] include/t_errno (58/892): 1 test cases errno_constants: [0.305450s] Passed. [0.394789s] include/t_glob (59/892): 1 test cases glob_types: [0.287366s] Passed. [0.365285s] include/t_inttypes (60/892): 1 test cases int_fmtio: [0.346470s] Passed. [0.446098s] include/t_limits (61/892): 3 test cases char: [0.302127s] Passed. posix: [0.295092s] Passed. short: [0.282994s] Passed. [1.098828s] include/t_netdb (62/892): 1 test cases netdb_constants: [0.296688s] Expected failure: PR standards/44777: 2 checks failed as expected; see output for more details [0.370061s] include/t_paths (63/892): 1 test cases paths: [0.598129s] Passed. [0.685369s] include/t_stdint (64/892): 2 test cases int16: [0.284350s] Passed. int8: [0.273986s] Passed. [0.702191s] kernel/kqueue/read/t_fifo (65/892): 1 test cases fifo: [0.338953s] Passed. [0.418893s] kernel/kqueue/read/t_file (66/892): 1 test cases file: [12.413483s] Passed. [12.519697s] kernel/kqueue/read/t_file2 (67/892): 1 test cases file2: [0.361248s] Passed. [0.465210s] kernel/kqueue/read/t_pipe (68/892): 1 test cases pipe: [0.295066s] Passed. [0.368878s] kernel/kqueue/read/t_ttypty (69/892): 3 test cases closed_slave: [0.314836s] Passed. master: [2.430829s] Passed. slave: [2.377197s] Passed. [5.484917s] kernel/kqueue/write/t_fifo (70/892): 1 test cases fifo: [0.351050s] Passed. [0.436869s] kernel/kqueue/write/t_pipe (71/892): 3 test cases pipe1: [0.281980s] Passed. pipe2: [0.349194s] Passed. pipe3: [0.284100s] Passed. [1.138231s] kernel/kqueue/write/t_ttypty (72/892): 2 test cases master: [2.374819s] Passed. slave: [2.406612s] Passed. [4.943161s] kernel/kqueue/t_ioctl (73/892): 2 test cases kfilter_byfilter: [0.299656s] Passed. kfilter_byname: [0.316164s] Passed. [0.758623s] kernel/kqueue/t_proc1 (74/892): 1 test cases proc1: [4.530645s] Passed. [4.609273s] kernel/kqueue/t_proc2 (75/892): 1 test cases proc2: [4.555516s] Passed. [4.700127s] kernel/kqueue/t_proc3 (76/892): 1 test cases proc3: [0.393404s] Passed. [0.484222s] kernel/kqueue/t_sig (77/892): 1 test cases sig: [24.349158s] Passed. [24.430954s] kernel/kqueue/t_vnode (78/892): 18 test cases dir_no_note_link_create_file_in: [1.222978s] Passed. dir_no_note_link_delete_file_in: [0.698863s] Passed. dir_no_note_link_mv_dir_within: [0.728478s] Passed. dir_no_note_link_mv_file_within: [0.671479s] Passed. dir_note_link_create_dir_in: [0.693118s] Passed. dir_note_link_delete_dir_in: [0.658614s] Passed. dir_note_link_mv_dir_in: [0.753295s] Passed. dir_note_link_mv_dir_out: [0.720591s] Passed. dir_note_write_create_dir_in: [0.852369s] Passed. dir_note_write_create_file_in: [0.741680s] Passed. dir_note_write_delete_dir_in: [0.785756s] Passed. dir_note_write_delete_file_in: [0.898004s] Passed. dir_note_write_mv_dir_in: [0.930843s] Passed. dir_note_write_mv_dir_out: [0.806240s] Passed. dir_note_write_mv_dir_within: [0.728766s] Passed. dir_note_write_mv_file_in: [0.670643s] Passed. dir_note_write_mv_file_out: [0.682497s] Passed. dir_note_write_mv_file_within: [0.758017s] Passed. [15.598014s] kernel/tty/t_pr (79/892): 3 test cases client_first: [0.947857s] Passed. master_first: [1.285142s] Passed. ptyioctl: [1.009056s] Passed. [3.508086s] kernel/t_fcntl (80/892): 1 test cases getpath: [0.307019s] Passed. [0.396705s] kernel/t_lock (81/892): 1 test cases lock: [2.345600s] Passed. [2.421846s] kernel/t_lockf (82/892): 2 test cases deadlock: [2.417767s] Passed. randlock: [121.065400s] Passed. [123.685458s] kernel/t_pty (83/892): 2 test cases pty_no_queue: [6.575956s] Passed. pty_queue: [6.537397s] Passed. [13.268126s] kernel/t_mqueue (84/892): 1 test cases mqueue: [0.376132s] Passed. [0.486161s] kernel/t_proccwd (85/892): 2 test cases chroot: [0.364663s] Passed. prompt_pid: [0.280015s] Passed. [0.825102s] kernel/t_sysv (86/892): 3 test cases msg: [0.813716s] Passed. sem: [2.997463s] Passed. shm: [0.794110s] Passed. [4.932347s] kernel/t_subr_prf (87/892): 5 test cases snprintf_count: [0.294849s] Passed. snprintf_count_overflow: [0.272332s] Passed. snprintf_print: [0.271775s] Passed. snprintf_print_overflow: [0.289787s] Passed. vasprintf_print: [0.268519s] Passed. [1.759483s] kernel/t_kauth_pr_47598 (88/892): 1 test cases kauth_curtain: [0.295897s] Skipped: curtain is not enabled and we would not be able to drop it later due to securelevel settings [0.371934s] kernel/t_ksem (89/892): 4 test cases close_on_unnamed: [0.282229s] Passed. close_on_unnamed_pshared: [0.275076s] Passed. destroy_on_named: [0.478776s] Passed. open_unlinked_lifecycle: [0.489532s] Passed. [1.813286s] kernel/t_sysctl (90/892): 1 test cases bufsize: [0.301667s] Passed. [0.379311s] kernel/t_timeleft (91/892): 1 test cases timeleft__lwp_park: [2.342152s] Passed. [2.415994s] kernel/t_zombie (92/892): 6 test cases race1: [4.564726s] Passed. signal1: [0.831168s] Passed. signal2: [0.535872s] Passed. signal3: [0.433694s] Passed. signal4: [0.461282s] Passed. signal5: [0.471259s] Passed. [7.937366s] kernel/t_extattrctl (93/892): 1 test cases extattrctl_namei: [0.884437s] Passed. [0.971217s] kernel/t_filedesc (94/892): 1 test cases getfilerace: [20.740227s] Passed. [20.838200s] kernel/t_rnd (95/892): 3 test cases RNDADDDATA: [1.071709s] Passed. RNDADDDATA2: [0.954673s] Passed. read_random: [2.209820s] Passed. [4.455989s] kernel/t_extent (96/892): 11 test cases bound1: [0.308093s] Passed. bound2: [0.317531s] Passed. bound3: [0.283752s] Passed. bound4: [0.282731s] Passed. bound5: [0.292586s] Passed. coalesce: [0.311635s] Passed. free: [0.304801s] Passed. subregion1: [0.344969s] Passed. subregion2: [0.287207s] Passed. subregion3: [0.293493s] Passed. subregion4: [0.286312s] Passed. [4.150017s] kernel/t_umount (97/892): 1 test cases umount: [22.424539s] Passed. [22.631213s] kernel/t_umountstress (98/892): 2 test cases fileop: [24.581143s] Passed. mountlist: [52.189943s] Passed. [77.212481s] kernel/t_ps_strings (99/892): 2 test cases update: [6.917889s] Passed. validate: [1.802718s] Passed. [8.874329s] kernel/t_trapsignal (100/892): 20 test cases bus_handle: [1.990678s] Passed. bus_handle_recurse: [2.576424s] Passed. bus_ignore: [2.105077s] Passed. bus_mask: [2.002065s] Passed. bus_simple: [2.053011s] Passed. fpe_handle: [1.649867s] Skipped: FPU does not implement traps on FP exceptions fpe_handle_recurse: [1.632165s] Skipped: FPU does not implement traps on FP exceptions fpe_ignore: [1.653524s] Skipped: FPU does not implement traps on FP exceptions fpe_mask: [2.155576s] Skipped: FPU does not implement traps on FP exceptions fpe_simple: [1.934157s] Skipped: FPU does not implement traps on FP exceptions segv_handle: [2.017486s] Passed. segv_handle_recurse: [2.098289s] Passed. segv_ignore: [1.981703s] Passed. segv_mask: [2.042861s] Passed. segv_simple: [2.019869s] Passed. trap_handle: [1.956977s] Passed. trap_handle_recurse: [1.943019s] Passed. trap_ignore: [2.306893s] Passed. trap_mask: [2.084396s] Passed. trap_simple: [2.008619s] Passed. [42.265771s] kernel/t_interp (101/892): 1 test cases procfs_interp: [1.315976s] Passed. [1.409503s] kernel/t_magic_symlinks (102/892): 10 test cases domainname: [4.439798s] Passed. gid: [4.877950s] Passed. hostname: [4.621940s] Passed. machine: [4.460509s] Passed. machine_arch: [4.844696s] Failed: kernel does not handle magic symlinks properly ostype: [4.341438s] Passed. realpath: [4.414411s] Expected failure: PR lib/55361: realpath does not handle magic symlinks properly rgid: [5.031532s] Passed. ruid: [4.596786s] Passed. uid: [4.528227s] Passed. [46.984345s] kernel/t_nointerpreter (103/892): 1 test cases nointerpreter: [3.085287s] Passed. [3.218289s] kernel/t_origin (104/892): 2 test cases origin_simple: [28.227725s] Passed. origin_simple_32: [2.961281s] Skipped: this is not a 64 bit architecture [31.573017s] kernel/t_procpath (105/892): 3 test cases absolute_proc: [1.994038s] Passed. interpreter_proc: [2.173804s] Passed. relative_proc: [3.311493s] Passed. [7.757313s] kernel/t_fexecve (106/892): 2 test cases fexecve_elf: [11.177585s] Passed. fexecve_script: [3.309820s] Passed. [14.779327s] kernel/t_fpufork (107/892): 1 test cases fpufork: [2.040608s] Passed. [2.127199s] lib/csu/t_crt0 (108/892): 5 test cases initfini1: [2.085179s] Passed. initfini2: [2.404451s] Passed. initfini3: [2.228441s] Passed. initfini4: [2.411178s] Passed. initfini_array: [2.873176s] Passed. [12.672426s] lib/csu/t_ifunc_static (109/892): 1 test cases ifunc_static: [1.703247s] Failed: 127 != 0 (127 != 0) [1.808382s] lib/libarchive/t_libarchive (110/892): 1 test cases libarchive: [1.349938s] Skipped: Not enough RAM [1.433852s] lib/libbluetooth/t_bluetooth (111/892): 2 test cases check_bt_aton: [0.360362s] Passed. check_bt_ntoa: [0.305079s] Passed. [0.817769s] lib/libbluetooth/t_sdp_data (112/892): 2 test cases check_sdp_data_size: [0.299392s] Passed. check_sdp_data_type: [0.299102s] Passed. [0.762964s] lib/libbluetooth/t_sdp_get (113/892): 10 test cases check_sdp_get_alt: [0.358809s] Passed. check_sdp_get_attr: [0.345791s] Passed. check_sdp_get_bool: [0.313644s] Passed. check_sdp_get_data: [0.295068s] Passed. check_sdp_get_int: [0.360503s] Passed. check_sdp_get_seq: [0.334576s] Passed. check_sdp_get_str: [0.302707s] Passed. check_sdp_get_uint: [0.298806s] Passed. check_sdp_get_url: [0.299880s] Passed. check_sdp_get_uuid: [0.287995s] Passed. [3.945522s] lib/libbluetooth/t_sdp_match (114/892): 1 test cases check_sdp_match_uuid16: [0.282735s] Passed. [0.361431s] lib/libbluetooth/t_sdp_put (115/892): 21 test cases check_sdp_put_alt: [0.371177s] Passed. check_sdp_put_attr: [0.311651s] Passed. check_sdp_put_bool: [0.330552s] Passed. check_sdp_put_data: [0.326649s] Passed. check_sdp_put_int: [0.327662s] Passed. check_sdp_put_int16: [0.336986s] Passed. check_sdp_put_int32: [0.318130s] Passed. check_sdp_put_int64: [0.450893s] Passed. check_sdp_put_int8: [0.311913s] Passed. check_sdp_put_seq: [0.322172s] Passed. check_sdp_put_str: [0.512520s] Passed. check_sdp_put_uint: [0.472318s] Passed. check_sdp_put_uint16: [0.322191s] Passed. check_sdp_put_uint32: [0.366228s] Passed. check_sdp_put_uint64: [0.306600s] Passed. check_sdp_put_uint8: [0.309498s] Passed. check_sdp_put_url: [0.310470s] Passed. check_sdp_put_uuid: [0.319015s] Passed. check_sdp_put_uuid128: [0.347679s] Passed. check_sdp_put_uuid16: [0.374439s] Passed. check_sdp_put_uuid32: [0.312921s] Passed. [9.136535s] lib/libbluetooth/t_sdp_set (116/892): 5 test cases check_sdp_set_alt: [0.440282s] Passed. check_sdp_set_bool: [0.294720s] Passed. check_sdp_set_int: [0.297468s] Passed. check_sdp_set_seq: [0.333278s] Passed. check_sdp_set_uint: [0.310024s] Passed. [2.561704s] lib/libc/atomic/t_atomic_add (117/892): 10 test cases atomic_add_32: [0.287630s] Passed. atomic_add_32_nv: [0.308454s] Passed. atomic_add_64: [0.291692s] Passed. atomic_add_64_nv: [0.296564s] Passed. atomic_add_int: [0.298335s] Passed. atomic_add_int_nv: [0.290232s] Passed. atomic_add_long: [0.291111s] Passed. atomic_add_long_nv: [0.340721s] Passed. atomic_add_ptr: [0.286667s] Passed. atomic_add_ptr_nv: [0.277262s] Passed. [3.703591s] lib/libc/atomic/t_atomic_and (118/892): 8 test cases atomic_and_32: [0.291256s] Passed. atomic_and_32_nv: [0.290552s] Passed. atomic_and_64: [0.282959s] Passed. atomic_and_64_nv: [0.288525s] Passed. atomic_and_uint: [0.284574s] Passed. atomic_and_uint_nv: [0.287349s] Passed. atomic_and_ulong: [0.288212s] Passed. atomic_and_ulong_nv: [0.290263s] Passed. [3.003710s] lib/libc/atomic/t_atomic_cas (119/892): 10 test cases atomic_cas_32: [0.285010s] Passed. atomic_cas_32_ni: [0.378848s] Passed. atomic_cas_64: [0.324247s] Passed. atomic_cas_64_ni: [0.287485s] Passed. atomic_cas_ptr: [0.288603s] Passed. atomic_cas_ptr_ni: [0.293198s] Passed. atomic_cas_uint: [0.286021s] Passed. atomic_cas_uint_ni: [0.281655s] Passed. atomic_cas_ulong: [0.287510s] Passed. atomic_cas_ulong_ni: [0.291847s] Passed. [3.965257s] lib/libc/atomic/t_atomic_dec (120/892): 10 test cases atomic_dec_32: [0.292889s] Passed. atomic_dec_32_nv: [0.293590s] Passed. atomic_dec_64: [0.288516s] Passed. atomic_dec_64_nv: [0.321221s] Passed. atomic_dec_ptr: [0.357921s] Passed. atomic_dec_ptr_nv: [0.345368s] Passed. atomic_dec_uint: [0.308870s] Passed. atomic_dec_uint_nv: [0.289312s] Passed. atomic_dec_ulong: [0.292693s] Passed. atomic_dec_ulong_nv: [0.294985s] Passed. [3.957464s] lib/libc/atomic/t_atomic_inc (121/892): 10 test cases atomic_inc_32: [0.287370s] Passed. atomic_inc_32_nv: [0.565819s] Passed. atomic_inc_64: [0.439711s] Passed. atomic_inc_64_nv: [0.332090s] Passed. atomic_inc_ptr: [0.306228s] Passed. atomic_inc_ptr_nv: [0.305174s] Passed. atomic_inc_uint: [0.300675s] Passed. atomic_inc_uint_nv: [0.313088s] Passed. atomic_inc_ulong: [0.297860s] Passed. atomic_inc_ulong_nv: [0.298108s] Passed. [4.253671s] lib/libc/atomic/t_atomic_or (122/892): 8 test cases atomic_or_32: [0.292350s] Passed. atomic_or_32_nv: [0.299742s] Passed. atomic_or_64: [0.294217s] Passed. atomic_or_64_nv: [0.292553s] Passed. atomic_or_uint: [0.296157s] Passed. atomic_or_uint_nv: [0.295380s] Passed. atomic_or_ulong: [0.300793s] Passed. atomic_or_ulong_nv: [0.314195s] Passed. [2.986628s] lib/libc/atomic/t_atomic_swap (123/892): 5 test cases atomic_swap_32: [0.296320s] Passed. atomic_swap_64: [0.292951s] Passed. atomic_swap_ptr: [0.293252s] Passed. atomic_swap_uint: [0.295704s] Passed. atomic_swap_ulong: [0.290257s] Passed. [1.839526s] lib/libc/atomic/t___sync_add (124/892): 8 test cases __sync_add_and_fetch_1: [0.292119s] Passed. __sync_add_and_fetch_2: [0.295647s] Passed. __sync_add_and_fetch_4: [0.299085s] Passed. __sync_add_and_fetch_8: [0.312668s] Passed. __sync_fetch_and_add_1: [0.297594s] Passed. __sync_fetch_and_add_2: [0.293547s] Passed. __sync_fetch_and_add_4: [0.285468s] Passed. __sync_fetch_and_add_8: [0.289766s] Passed. [2.967786s] lib/libc/atomic/t___sync_sub (125/892): 8 test cases __sync_fetch_and_sub_1: [1.211786s] Passed. __sync_fetch_and_sub_2: [0.319874s] Passed. __sync_fetch_and_sub_4: [0.289453s] Passed. __sync_fetch_and_sub_8: [0.319241s] Passed. __sync_sub_and_fetch_1: [0.334001s] Passed. __sync_sub_and_fetch_2: [0.309046s] Passed. __sync_sub_and_fetch_4: [0.293820s] Passed. __sync_sub_and_fetch_8: [0.309279s] Passed. [4.095661s] lib/libc/atomic/t___sync_or (126/892): 8 test cases __sync_fetch_and_or_1: [0.443264s] Passed. __sync_fetch_and_or_2: [0.291683s] Passed. __sync_fetch_and_or_4: [0.282424s] Passed. __sync_fetch_and_or_8: [0.280788s] Passed. __sync_or_and_fetch_1: [0.280629s] Passed. __sync_or_and_fetch_2: [0.280061s] Passed. __sync_or_and_fetch_4: [0.283806s] Passed. __sync_or_and_fetch_8: [0.291498s] Passed. [3.011183s] lib/libc/atomic/t___sync_and (127/892): 8 test cases __sync_and_and_fetch_1: [0.285218s] Passed. __sync_and_and_fetch_2: [0.287346s] Passed. __sync_and_and_fetch_4: [0.287826s] Passed. __sync_and_and_fetch_8: [0.282740s] Passed. __sync_fetch_and_and_1: [0.295648s] Passed. __sync_fetch_and_and_2: [0.312549s] Passed. __sync_fetch_and_and_4: [0.543445s] Passed. __sync_fetch_and_and_8: [0.405091s] Passed. [3.343619s] lib/libc/atomic/t___sync_xor (128/892): 8 test cases __sync_fetch_and_xor_1: [0.367021s] Passed. __sync_fetch_and_xor_2: [0.317935s] Passed. __sync_fetch_and_xor_4: [0.306612s] Passed. __sync_fetch_and_xor_8: [0.298705s] Passed. __sync_xor_and_fetch_1: [0.298263s] Passed. __sync_xor_and_fetch_2: [0.298566s] Passed. __sync_xor_and_fetch_4: [0.308460s] Passed. __sync_xor_and_fetch_8: [0.316605s] Passed. [3.095907s] lib/libc/atomic/t___sync_nand (129/892): 8 test cases __sync_fetch_and_nand_1: [0.254271s] Passed. __sync_fetch_and_nand_2: [0.297358s] Passed. __sync_fetch_and_nand_4: [0.296489s] Passed. __sync_fetch_and_nand_8: [0.315120s] Passed. __sync_nand_and_fetch_1: [0.300819s] Passed. __sync_nand_and_fetch_2: [0.298017s] Passed. __sync_nand_and_fetch_4: [0.309895s] Passed. __sync_nand_and_fetch_8: [0.289178s] Passed. [2.993506s] lib/libc/atomic/t___sync_compare_and_swap (130/892): 8 test cases __sync_bool_compare_and_swap_1: [0.298719s] Passed. __sync_bool_compare_and_swap_2: [0.298113s] Passed. __sync_bool_compare_and_swap_4: [0.299066s] Passed. __sync_bool_compare_and_swap_8: [0.297965s] Passed. __sync_val_compare_and_swap_1: [0.299497s] Passed. __sync_val_compare_and_swap_2: [0.299365s] Passed. __sync_val_compare_and_swap_4: [0.340540s] Passed. __sync_val_compare_and_swap_8: [0.283551s] Passed. [2.992320s] lib/libc/atomic/t___sync_lock (131/892): 9 test cases __sync_lock_release_1: [0.288509s] Passed. __sync_lock_release_2: [1.042575s] Passed. __sync_lock_release_4: [0.296454s] Passed. __sync_lock_release_8: [0.295872s] Passed. __sync_lock_test_and_set_1: [0.291236s] Passed. __sync_lock_test_and_set_2: [0.296140s] Passed. __sync_lock_test_and_set_4: [0.303228s] Passed. __sync_lock_test_and_set_8: [0.295645s] Passed. __sync_synchronize: [0.294620s] Passed. [4.077507s] lib/libc/c063/t_faccessat (132/892): 7 test cases faccessat_fd: [0.388317s] Passed. faccessat_fdcwd: [0.385546s] Passed. faccessat_fdcwderr: [0.401573s] Passed. faccessat_fderr1: [0.360341s] Passed. faccessat_fderr2: [0.391539s] Passed. faccessat_fderr3: [0.388151s] Passed. faccessat_fdlink: [0.383330s] Passed. [3.497625s] lib/libc/c063/t_fchmodat (133/892): 7 test cases fchmodat_fd: [0.385451s] Passed. fchmodat_fdcwd: [0.387243s] Passed. fchmodat_fdcwderr: [0.393786s] Passed. fchmodat_fderr1: [0.380761s] Passed. fchmodat_fderr2: [0.388597s] Passed. fchmodat_fderr3: [0.391480s] Passed. fchmodat_fdlink: [0.403543s] Passed. [3.512516s] lib/libc/c063/t_fchownat (134/892): 7 test cases fchownat_fd: [0.439049s] Passed. fchownat_fdcwd: [0.434156s] Passed. fchownat_fdcwderr: [0.657662s] Passed. fchownat_fderr1: [0.543388s] Passed. fchownat_fderr2: [0.446300s] Passed. fchownat_fderr3: [0.433573s] Passed. fchownat_fdlink: [0.420656s] Passed. [4.245775s] lib/libc/c063/t_fexecve (135/892): 1 test cases fexecve: [0.492202s] Passed. [0.588629s] lib/libc/c063/t_fstatat (136/892): 7 test cases fstatat_fd: [0.386538s] Passed. fstatat_fdcwd: [0.392689s] Passed. fstatat_fdcwderr: [0.373564s] Passed. fstatat_fderr1: [0.355790s] Passed. fstatat_fderr2: [0.403426s] Passed. fstatat_fderr3: [0.382190s] Passed. fstatat_fdlink: [0.448441s] Passed. [3.588420s] lib/libc/c063/t_linkat (137/892): 6 test cases linkat_fd: [0.553985s] Passed. linkat_fdcwd: [0.477479s] Passed. linkat_fdcwderr: [0.456911s] Passed. linkat_fderr: [0.464112s] Passed. linkat_fdlink1: [0.527668s] Passed. linkat_fdlink2: [0.534468s] Passed. [3.980872s] lib/libc/c063/t_mkdirat (138/892): 4 test cases mkdirat_fd: [0.437415s] Passed. mkdirat_fdcwd: [0.411262s] Passed. mkdirat_fdcwderr: [0.288158s] Passed. mkdirat_fderr: [0.392876s] Passed. [2.003487s] lib/libc/c063/t_mkfifoat (139/892): 5 test cases mkfifoat_fd: [0.408794s] Passed. mkfifoat_fdcwd: [0.415730s] Passed. mkfifoat_fdcwderr: [0.304183s] Passed. mkfifoat_fderr: [0.415765s] Passed. mknodat_s_ififo: [0.393534s] Passed. [2.472831s] lib/libc/c063/t_mknodat (140/892): 4 test cases mknodat_fd: [0.389680s] Passed. mknodat_fdcwd: [0.402430s] Passed. mknodat_fdcwderr: [0.311259s] Passed. mknodat_fderr: [0.376836s] Passed. [2.016752s] lib/libc/c063/t_o_search (141/892): 3 test cases o_search_notdir: [0.440516s] Passed. o_search_perm1: [0.517023s] Passed. o_search_perm2: [0.596374s] Passed. [1.939645s] lib/libc/c063/t_openat (142/892): 6 test cases openat_fd: [0.406698s] Passed. openat_fdcwd: [0.371305s] Passed. openat_fdcwderr: [0.363352s] Passed. openat_fderr1: [0.350493s] Passed. openat_fderr2: [0.391709s] Passed. openat_fderr3: [0.410415s] Passed. [2.999765s] lib/libc/c063/t_readlinkat (143/892): 5 test cases readlinkat_fd: [0.435151s] Passed. readlinkat_fdcwd: [0.432237s] Passed. readlinkat_fdcwderr: [0.314751s] Passed. readlinkat_fderr1: [0.384183s] Passed. readlinkat_fderr2: [0.439567s] Passed. [2.584775s] lib/libc/c063/t_renameat (144/892): 4 test cases renameat_fd: [0.521771s] Passed. renameat_fdcwd: [0.524773s] Passed. renameat_fdcwderr: [0.467392s] Passed. renameat_fderr: [0.424288s] Passed. [2.563549s] lib/libc/c063/t_symlinkat (145/892): 4 test cases symlinkat_fd: [0.662757s] Passed. symlinkat_fdcwd: [0.503097s] Passed. symlinkat_fdcwderr: [0.569803s] Passed. symlinkat_fderr: [0.463030s] Passed. [2.946273s] lib/libc/c063/t_unlinkat (146/892): 7 test cases unlinkat_dir: [0.403720s] Passed. unlinkat_fd: [0.420871s] Passed. unlinkat_fdcwd: [0.423359s] Passed. unlinkat_fdcwderr: [0.346539s] Passed. unlinkat_fderr1: [0.349649s] Passed. unlinkat_fderr2: [0.380442s] Passed. unlinkat_fderr3: [0.429964s] Passed. [3.658733s] lib/libc/c063/t_utimensat (147/892): 7 test cases utimensat_fd: [0.418046s] Failed: /tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/src/tests/lib/libc/c063/t_utimensat.c:78: st.st_atimespec.tv_sec == tptr[0].tv_sec not met utimensat_fdcwd: [0.402059s] Failed: /tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/src/tests/lib/libc/c063/t_utimensat.c:103: st.st_atimespec.tv_sec == tptr[0].tv_sec not met utimensat_fdcwderr: [0.424958s] Passed. utimensat_fderr1: [0.378536s] Passed. utimensat_fderr2: [1.359838s] Passed. utimensat_fderr3: [0.388143s] Passed. utimensat_fdlink: [0.400325s] Failed: /tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/src/tests/lib/libc/c063/t_utimensat.c:195: st.st_atimespec.tv_sec == tptr[0].tv_sec not met [4.674627s] lib/libc/db/t_db_hash_seq (148/892): 4 test cases test_hash_del_all: [0.365950s] Passed. test_hash_del_alt: [0.312945s] Passed. test_hash_del_every_7: [0.327454s] Passed. test_hash_del_none: [0.320454s] Passed. [1.637330s] lib/libc/db/t_db (149/892): 32 test cases alternate_recno: [4.515353s] Passed. big_btree: [15.383574s] Passed. big_hash: [5.814027s] Passed. big_recno: [17.196482s] Passed. bsize_ffactor: [1558.235201s] Passed. bsize_torture: [133.279715s] Passed. btree_byteswap_unaligned_access_bksd: [3.325462s] Passed. btree_byteswap_unaligned_access_skbd: [3.402085s] Passed. btree_known_byte_order: [5.482291s] Passed. btree_recursive_traversal: [39.259764s] Passed. btree_tricky_page_split: [4.185665s] Passed. btree_weird_page_split: [381.592414s] Passed. byte_orders_btree: [14.709476s] Passed. byte_orders_hash: [6.329020s] Passed. cursor_flags_btree: [6.934889s] Passed. cursor_flags_recno: [5.845594s] Passed. delete_btree: [4.579366s] Passed. delete_recno: [4.197577s] Passed. duplicate_btree: [4.188567s] Passed. four_char_hash: [2.875450s] Passed. medium_btree: [3.194857s] Passed. medium_hash: [3.072233s] Passed. medium_recno: [2.909718s] Passed. random_recno: [6.941051s] Passed. repeated_btree: [6.073416s] Passed. repeated_hash: [2.936630s] Passed. reverse_order_recno: [3.891243s] Passed. reverse_recno: [4.651807s] Passed. small_btree: [3.266800s] Passed. small_hash: [3.124988s] Passed. small_page_btree: [93.233141s] Passed. small_recno: [4.476166s] Passed. [2365.489221s] lib/libc/gen/execve/t_execve (150/892): 1 test cases t_execve_null: [0.294796s] Passed. [0.384800s] lib/libc/gen/posix_spawn/t_spawn (151/892): 6 test cases t_spawn_child: [0.630856s] Passed. t_spawn_ls: [0.305773s] Passed. t_spawn_missing: [0.302546s] Passed. t_spawn_nonexec: [0.296221s] Passed. t_spawn_zero: [0.304362s] Passed. t_spawnp_ls: [0.332931s] Passed. [2.615359s] lib/libc/gen/posix_spawn/t_fileactions (152/892): 6 test cases t_spawn_empty_fileactions: [1.011990s] Passed. t_spawn_fileactions: [0.413302s] Passed. t_spawn_open_nonexistent: [0.305203s] Passed. t_spawn_open_nonexistent_diag: [0.312937s] Passed. t_spawn_openmode: [0.821580s] Passed. t_spawn_reopen: [0.410751s] Passed. [3.828333s] lib/libc/gen/posix_spawn/t_spawnattr (153/892): 2 test cases t_spawn_resetids: [0.391584s] Passed. t_spawnattr: [0.442008s] Passed. [0.982770s] lib/libc/gen/t_alarm (154/892): 3 test cases alarm_basic: [2.454992s] Passed. alarm_fork: [1.377199s] Passed. alarm_previous: [0.400449s] Passed. [4.538005s] lib/libc/gen/t_assert (155/892): 2 test cases assert_false: [0.352816s] Passed. assert_true: [0.379628s] Passed. [0.894204s] lib/libc/gen/t_basedirname (156/892): 2 test cases basename_posix: [0.287832s] Passed. dirname_posix: [0.303070s] Passed. [0.741766s] lib/libc/gen/t_closefrom (157/892): 4 test cases closefrom_basic: [0.501941s] Passed. closefrom_buffer: [0.492054s] Passed. closefrom_err: [0.285368s] Passed. closefrom_one: [0.320764s] Passed. [1.893444s] lib/libc/gen/t_cpuset (158/892): 3 test cases cpuset_err: [0.305714s] Passed. cpuset_set: [0.289415s] Passed. cpuset_size: [0.289725s] Passed. [1.109026s] lib/libc/gen/t_dir (159/892): 2 test cases seekdir_basic: [0.436148s] Passed. telldir_leak: [0.539039s] Passed. [1.182059s] lib/libc/gen/t_floatunditf (160/892): 1 test cases floatunditf: [0.289836s] Skipped: Requires long double support [0.370490s] lib/libc/gen/t_fmtcheck (161/892): 1 test cases fmtcheck_basic: [0.292954s] Passed. [0.372409s] lib/libc/gen/t_fnmatch (162/892): 7 test cases fnmatch_backslashes: [0.292848s] Passed. fnmatch_casefold: [0.304652s] Passed. fnmatch_initialbracket: [0.304135s] Passed. fnmatch_leadingdir: [0.307029s] Passed. fnmatch_noescape: [0.292393s] Passed. fnmatch_pathname: [0.295699s] Passed. fnmatch_period: [0.292560s] Passed. [2.609535s] lib/libc/gen/t_fpclassify (163/892): 2 test cases fpclassify_double: [0.288755s] Passed. fpclassify_float: [0.301412s] Passed. [0.741475s] lib/libc/gen/t_fpsetmask (164/892): 7 test cases fpsetmask_basic: [0.301294s] Skipped: FPU does not implement traps on FP exceptions fpsetmask_masked_double: [0.304752s] Skipped: FPU does not implement traps on FP exceptions fpsetmask_masked_float: [0.327520s] Skipped: FPU does not implement traps on FP exceptions fpsetmask_masked_long_double: [0.297017s] Skipped: FPU does not implement traps on FP exceptions fpsetmask_unmasked_double: [0.300979s] Skipped: FPU does not implement traps on FP exceptions fpsetmask_unmasked_float: [0.302100s] Skipped: FPU does not implement traps on FP exceptions fpsetmask_unmasked_long_double: [0.364051s] Skipped: FPU does not implement traps on FP exceptions [2.714862s] lib/libc/gen/t_fpsetround (165/892): 1 test cases fpsetround_basic: [0.296151s] Passed. [0.379053s] lib/libc/gen/t_ftok (166/892): 2 test cases ftok_err: [0.290172s] Passed. ftok_link: [0.590028s] Passed. [1.034191s] lib/libc/gen/t_getcwd (167/892): 2 test cases getcwd_err: [0.296562s] Passed. getcwd_fts: [1.650696s] Passed. [2.115833s] lib/libc/gen/t_getgrent (168/892): 2 test cases getgrent_loop: [0.351745s] Passed. getgrent_setgid: [2.209264s] Passed. [2.794770s] lib/libc/gen/t_glob (169/892): 6 test cases glob_hidden: [0.324697s] Passed. glob_range: [0.318291s] Passed. glob_range_not: [0.294509s] Passed. glob_star: [0.288335s] Passed. glob_star_not: [0.301639s] Passed. glob_star_star: [0.300485s] Passed. [2.259183s] lib/libc/gen/t_humanize_number (170/892): 2 test cases humanize_number_basic: [0.287955s] Passed. humanize_number_big: [0.293562s] Passed. [0.737501s] lib/libc/gen/t_isnan (171/892): 2 test cases isinf_basic: [0.282105s] Passed. isnan_basic: [0.289760s] Passed. [0.726818s] lib/libc/gen/t_nice (172/892): 4 test cases nice_err: [0.363848s] Passed. nice_priority: [0.848198s] Passed. nice_root: [0.306333s] Passed. nice_thread: [0.334054s] Passed. [2.146749s] lib/libc/gen/t_pause (173/892): 2 test cases pause_basic: [2.255294s] Passed. pause_kill: [4.329480s] Passed. [6.738307s] lib/libc/gen/t_raise (174/892): 4 test cases raise_err: [0.279827s] Passed. raise_ret: [2.324511s] Passed. raise_sig: [1.072692s] Passed. raise_stress: [2.704107s] Passed. [6.804570s] lib/libc/gen/t_randomid (175/892): 1 test cases randomid_basic: [4.497108s] Passed. [4.755272s] lib/libc/gen/t_realpath (176/892): 3 test cases realpath_basic: [0.302848s] Passed. realpath_huge: [0.294990s] Passed. realpath_symlink: [0.374446s] Passed. [1.195725s] lib/libc/gen/t_setdomainname (177/892): 3 test cases setdomainname_basic: [0.457388s] Passed. setdomainname_limit: [0.474025s] Passed. setdomainname_perm: [0.560464s] Passed. [1.716343s] lib/libc/gen/t_sethostname (178/892): 3 test cases sethostname_basic: [0.473784s] Passed. sethostname_limit: [0.495773s] Passed. sethostname_perm: [0.500627s] Passed. [1.698989s] lib/libc/gen/t_siginfo (179/892): 8 test cases sigalarm: [2.489865s] Passed. sigbus_adraln: [0.300853s] Skipped: No SIGBUS signal for unaligned accesses sigchild_dump: [2.574654s] Passed. sigchild_kill: [0.338878s] Passed. sigchild_normal: [2.366607s] Passed. sigfpe_flt: [0.302277s] Skipped: FPU does not implement traps on FP exceptions sigfpe_int: [0.389999s] Passed. sigsegv: [0.383199s] Passed. [9.760305s] lib/libc/gen/t_sleep (180/892): 5 test cases kevent: [54.382275s] Failed: /tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/src/tests/lib/libc/gen/t_sleep.c:182: kevent: KEVNT_TIMEOUT did not cause EVFILT_TIMER event nanosleep: [0.638106s] Expected failure: Long reschedule latency due to PR kern/43997: Reschedule latency -99297937 exceeds allowable fuzz 40000000 poll: [74.628677s] Passed. select: [75.491499s] Passed. sleep: [2.310278s] Expected failure: Long reschedule latency due to PR kern/43997: Reschedule latency -1000000000 exceeds allowable fuzz 40000000 [207.939791s] lib/libc/gen/t_syslog (181/892): 2 test cases Aug 2 05:55:47 armv7 -: armv7 t_syslog - - - Unknown facility/priority: 0xffffffff syslog_invalid_priority: [0.429487s] Passed. syslog_pthread: [0.357684s] Passed. [0.950627s] lib/libc/gen/t_time (182/892): 3 test cases time_copy: [0.276606s] Passed. time_mono: [20.535341s] Passed. time_timeofday: [0.313231s] Passed. [21.355871s] lib/libc/gen/t_ttyname (183/892): 4 test cases ttyname_err: [0.316133s] Passed. ttyname_r_err: [0.314659s] Passed. ttyname_r_stdin: [0.291022s] Passed. ttyname_stdin: [0.291457s] Passed. [1.530824s] lib/libc/gen/t_vis (184/892): 5 test cases strunvis_hex: [0.283290s] Passed. strvis_basic: [0.309970s] Passed. strvis_empty: [0.294742s] Passed. strvis_locale: [0.414898s] Passed. strvis_null: [0.274411s] Passed. [1.949716s] lib/libc/hash/t_sha2 (185/892): 3 test cases t_sha256: [0.269811s] Passed. t_sha384: [0.278189s] Passed. t_sha512: [0.279933s] Passed. [1.038795s] lib/libc/hash/t_hmac (186/892): 1 test cases t_hmac: [4.464285s] Passed. [4.543242s] lib/libc/hash/t_hash (187/892): 2 test cases md5: [2.044084s] Passed. sha1: [6.428418s] Passed. [8.646857s] lib/libc/inet/t_inet_addr (188/892): 2 test cases inet_addr_basic: [0.326288s] Passed. inet_addr_err: [0.321223s] Passed. [0.809364s] lib/libc/inet/t_inet_network (189/892): 2 test cases inet_network_basic: [0.290865s] Passed. inet_network_err: [0.284365s] Passed. [0.726190s] lib/libc/locale/t_mbrtowc (190/892): 2 test cases mbrtowc_internal: [0.587549s] Passed. mbrtowc_object: [0.493132s] Passed. [1.232297s] lib/libc/locale/t_mbstowcs (191/892): 1 test cases mbstowcs_basic: [0.457301s] Passed. [0.539607s] lib/libc/locale/t_mbsnrtowcs (192/892): 1 test cases mbsnrtowcs: [0.321692s] Passed. [0.402415s] lib/libc/locale/t_mbtowc (193/892): 2 test cases mbtowc_basic: [0.806814s] Passed. mbtowc_sign: [0.310693s] Passed. [1.268585s] lib/libc/locale/t_wcscspn (194/892): 1 test cases wcscspn: [0.306855s] Passed. [0.389825s] lib/libc/locale/t_wcspbrk (195/892): 1 test cases wcspbrk: [0.281499s] Passed. [0.363843s] lib/libc/locale/t_wcsrtombs (196/892): 1 test cases wcsrtombs_advance: [0.278252s] Passed. [0.358730s] lib/libc/locale/t_wcsspn (197/892): 1 test cases wcsspn: [0.281849s] Passed. [0.365872s] lib/libc/locale/t_wcstod (198/892): 2 test cases wcstod: [2.342976s] Passed. wcstombs: [0.798609s] Passed. [3.297058s] lib/libc/locale/t_wctomb (199/892): 3 test cases wcrtomb: [0.375692s] Passed. wcrtomb_state: [0.404245s] Passed. wctomb: [0.502456s] Passed. [1.519113s] lib/libc/locale/t_io (200/892): 6 test cases bad_big5_getwc: [0.334378s] Passed. bad_big5_swprintf: [0.346625s] Passed. bad_big5_wprintf: [0.321180s] Passed. good_big5_getwc: [0.333438s] Passed. good_big5_swprintf: [0.346138s] Passed. good_big5_wprintf: [0.335172s] Passed. [2.506329s] lib/libc/locale/t_toupper (201/892): 2 test cases tolower: [0.736814s] Passed. toupper: [0.459692s] Passed. [1.354220s] lib/libc/locale/t_digittoint (202/892): 1 test cases digittoint: [0.313833s] Skipped: digittoint(3) not present to test [0.408018s] lib/libc/locale/t_sprintf (203/892): 3 test cases sprintf: [0.409677s] Passed. sscanf: [0.322540s] Passed. strto: [0.656354s] Passed. [1.658802s] lib/libc/locale/t_wctype (204/892): 1 test cases ctype: [1.946932s] Passed. [2.033440s] lib/libc/locale/t_btowc (205/892): 3 test cases btowc: [0.458027s] Passed. btowc_posix: [0.303379s] Passed. stdc_iso_10646: [0.294988s] Skipped: __STDC_ISO_10646__ not defined [1.287409s] lib/libc/locale/t_wcscoll (206/892): 1 test cases wcscoll: [0.324604s] Expected failure: LC_COLLATE support is not yet fully implemented: /tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/src/tests/lib/libc/locale/t_wcscoll.c:120: setlocale(LC_COLLATE, t->locale) != NULL not met [0.419904s] lib/libc/locale/t_ducet (207/892): 2 test cases wcscoll_ducet: [0.342928s] Skipped: Cannot test DUCET without __STDC_ISO_10646__ wcsxfrm_ducet: [0.301310s] Skipped: Cannot test DUCET without __STDC_ISO_10646__ [0.796545s] lib/libc/locale/t_strfmon (208/892): 1 test cases strfmon: [0.352915s] Passed. [0.434140s] lib/libc/misc/t_ubsan (209/892): 14 test cases add_overflow_signed: [0.544566s] Passed. builtin_unreachable: [0.579323s] Passed. divrem_overflow_signed_div: [0.522611s] Passed. divrem_overflow_signed_mod: [0.521591s] Passed. integer_divide_by_zero: [0.546006s] Passed. load_invalid_value_bool: [0.507614s] Passed. mul_overflow_signed: [0.544063s] Passed. out_of_bounds: [0.541423s] Passed. shift_out_of_bounds_negativeexponent: [0.544262s] Passed. shift_out_of_bounds_signednessbit: [0.530421s] Passed. shift_out_of_bounds_signedoverflow: [0.553846s] Passed. shift_out_of_bounds_toolargeexponent: [0.517649s] Passed. type_mismatch_misaligned: [0.508647s] Passed. vla_bound_not_positive: [0.554561s] Passed. [9.064547s] lib/libc/misc/t_ubsanxx (210/892): 15 test cases add_overflow_signed: [0.722381s] Passed. builtin_unreachable: [0.779142s] Passed. divrem_overflow_signed_div: [0.738151s] Passed. divrem_overflow_signed_mod: [0.793310s] Passed. integer_divide_by_zero: [0.706895s] Passed. load_invalid_value_bool: [0.717063s] Passed. load_invalid_value_enum: [0.713890s] Passed. missing_return: [0.903476s] Passed. mul_overflow_signed: [0.749429s] Passed. out_of_bounds: [0.725399s] Passed. shift_out_of_bounds_negativeexponent: [0.783030s] Passed. shift_out_of_bounds_signedoverflow: [1.110631s] Passed. shift_out_of_bounds_toolargeexponent: [0.885629s] Passed. type_mismatch_misaligned: [0.756889s] Passed. vla_bound_not_positive: [0.699130s] Passed. [13.528591s] lib/libc/net/getaddrinfo/t_getaddrinfo (211/892): 7 test cases basic: [3.542068s] Passed. empty_hostname: [4.163228s] Passed. empty_servname: [2.859409s] Passed. scopeaddr: [1.689931s] Passed. sock_raw: [2.834194s] Passed. specific: [2.383062s] Passed. unsupported_family: [1.775039s] Passed. [20.059985s] lib/libc/net/t_getprotoent (212/892): 7 test cases endprotoent_rewind: [0.330037s] Passed. getprotobyname_basic: [0.331227s] Passed. getprotobyname_err: [0.355784s] Passed. getprotobynumber_basic: [0.340809s] Passed. getprotobynumber_err: [0.340472s] Passed. getprotoent_next: [0.320727s] Passed. setprotoent_rewind: [0.314255s] Passed. [2.857119s] lib/libc/net/t_ether_aton (213/892): 1 test cases tc_ether_aton: [0.313328s] Passed. [0.396760s] lib/libc/net/t_if_nametoindex (214/892): 1 test cases tc_if_nametoindex: [0.300292s] Passed. [0.378868s] lib/libc/net/t_nsdispatch (215/892): 1 test cases recurse: [2.203947s] Passed. [2.357639s] lib/libc/net/t_protoent (216/892): 1 test cases protoent: [2.498398s] Passed. [2.636592s] lib/libc/net/t_servent (217/892): 1 test cases servent: [12.276511s] Passed. [12.447947s] lib/libc/net/t_hostent (218/892): 12 test cases dnsbyaddrlookup4: [7.391155s] Passed. dnsbyaddrlookup6: [5.765756s] Passed. dnsbynamelookup4: [6.194947s] Passed. dnsbynamelookup6: [5.979048s] Passed. gethostbyaddr4: [6.171714s] Passed. gethostbyaddr6: [6.313587s] Passed. gethostbyname4: [6.319328s] Passed. gethostbyname6: [6.133063s] Passed. hostsbyaddrlookup4: [2.074727s] Passed. hostsbyaddrlookup6: [2.069602s] Passed. hostsbynamelookup4: [2.031641s] Passed. hostsbynamelookup6: [2.161321s] Passed. [59.870708s] lib/libc/nls/t_catalog (219/892): 2 test cases catalog_errno: [0.301824s] Passed. catalog_signal: [0.299379s] Passed. [0.773015s] lib/libc/regex/t_regex_att (220/892): 7 test cases basic: [0.450794s] Passed. categorization: [0.573347s] Passed. forcedassoc: [0.352789s] Passed. leftassoc: [0.394527s] Expected failure: Reason for breakage unknown: 12 checks failed as expected; see output for more details nullsubexpr: [0.782252s] Passed. repetition: [0.670722s] Passed. rightassoc: [0.349874s] Passed. [4.219065s] lib/libc/regex/t_exhaust (221/892): 1 test cases regcomp_too_big: [0.033234s] Skipped: Not enough memory; needed 268435456, available 121303040 [0.066363s] lib/libc/regex/t_regex (222/892): 19 test cases anchor: [3.581696s] Passed. backref: [4.209944s] Passed. basic: [5.087122s] Passed. bracket: [4.119988s] Passed. c_comments: [3.539687s] Passed. complex: [3.468229s] Passed. error: [5.342345s] Passed. meta: [3.711761s] Passed. nospec: [3.513503s] Passed. paren: [4.054504s] Passed. regress: [3.531015s] Passed. repet_bounded: [3.831378s] Passed. repet_multi: [3.992609s] Passed. repet_ordinary: [3.472965s] Passed. startend: [3.312393s] Passed. subexp: [3.638539s] Passed. subtle: [3.555644s] Passed. word_bound: [3.287875s] Passed. zero: [3.388449s] Passed. [74.196553s] lib/libc/rpc/t_xdr (223/892): 1 test cases xdr: [0.277466s] Passed. [0.358983s] lib/libc/rpc/t_rpc (224/892): 7 test cases get_svc_addr_tcp: [1.853163s] Skipped: clnt_create (: RPC: Port mapper failure - RPC: Timed out) get_svc_addr_udp: [1.727402s] Skipped: clnt_create (: RPC: Port mapper failure - RPC: Timed out) raw: [0.579086s] Passed. tcp: [0.565292s] Skipped: Cannot create server 1 tcp_poll: [0.438100s] Skipped: Cannot create server 1 udp: [0.434871s] Skipped: Cannot create server 1 udp_poll: [0.445592s] Skipped: Cannot create server 1 [6.682805s] lib/libc/setjmp/t_setjmp (225/892): 6 test cases _longjmp_zero: [0.330223s] Passed. _setjmp: [0.297900s] Passed. longjmp_zero: [0.298106s] Passed. setjmp: [0.299278s] Passed. sigsetjmp_nosave: [0.294513s] Passed. sigsetjmp_save: [0.298520s] Passed. [2.268824s] lib/libc/setjmp/t_threadjmp (226/892): 4 test cases _setjmp: [0.339508s] Passed. setjmp: [0.309634s] Passed. sigsetjmp_nosave: [0.309510s] Passed. sigsetjmp_save: [0.306497s] Passed. [1.557166s] lib/libc/stdlib/t_a64l (227/892): 3 test cases a64l_basic: [0.283451s] Passed. l64a_basic: [0.292015s] Passed. l64a_r_basic: [0.286445s] Passed. [1.079373s] lib/libc/stdlib/t_abs (228/892): 4 test cases abs_basic: [0.287794s] Passed. imaxabs_basic: [0.296222s] Passed. labs_basic: [0.287326s] Passed. llabs_basic: [0.296489s] Passed. [1.993281s] lib/libc/stdlib/t_atoi (229/892): 4 test cases atof_strtod: [0.298522s] Passed. atoi_strtol: [1.084100s] Passed. atol_strtol: [0.292500s] Passed. atoll_strtoll: [0.291310s] Passed. [3.004419s] lib/libc/stdlib/t_div (230/892): 3 test cases div_basic: [0.289962s] Passed. ldiv_basic: [0.289668s] Passed. lldiv_basic: [0.294099s] Passed. [1.094229s] lib/libc/stdlib/t_getenv (231/892): 5 test cases clearenv_basic: [0.425903s] Passed. getenv_basic: [0.294756s] Passed. putenv_basic: [0.368360s] Passed. setenv_basic: [32.368265s] Passed. setenv_mixed: [0.296265s] Passed. [34.130715s] lib/libc/stdlib/t_getenv_thread (232/892): 4 test cases getenv_r_thread: [9.703078s] Passed. putenv_thread: [9.929950s] Passed. setenv_thread: [9.933542s] Passed. unsetenv_thread: [10.070571s] Passed. [39.931274s] lib/libc/stdlib/t_exit (233/892): 4 test cases exit_atexit: [0.335313s] Passed. exit_basic: [0.349302s] Passed. exit_status: [0.609120s] Passed. exit_tmpfile: [0.396318s] Passed. [1.996934s] lib/libc/stdlib/t_hsearch (234/892): 8 test cases hsearch_basic: [0.441215s] Passed. hsearch_duplicate: [0.313069s] Passed. hsearch_nonexistent: [0.303363s] Passed. hsearch_r_basic: [0.302124s] Passed. hsearch_r_duplicate: [0.349419s] Passed. hsearch_r_nonexistent: [0.320567s] Passed. hsearch_r_two: [0.293978s] Passed. hsearch_two: [0.298909s] Passed. [3.286865s] lib/libc/stdlib/t_mktemp (235/892): 7 test cases mkdtemp_basic: [0.392027s] Passed. mkostemp_basic: [0.830622s] Passed. mkostemps_basic: [0.765305s] Passed. mkstemp_basic: [0.372912s] Passed. mkstemps_basic: [0.371481s] Passed. mktemp_large_template: [0.307318s] Passed. mktemp_not_exist: [0.310960s] Passed. [3.919670s] lib/libc/stdlib/t_mi_vector_hash (236/892): 1 test cases mi_vector_hash_basic: [0.284800s] Passed. [0.358951s] lib/libc/stdlib/t_posix_memalign (237/892): 2 test cases aligned_alloc_basic: [0.355818s] Passed. posix_memalign_basic: [0.353537s] Passed. [0.922735s] lib/libc/stdlib/t_random (238/892): 1 test cases random_same: [0.402808s] Passed. [0.480229s] lib/libc/stdlib/t_strtod (239/892): 11 test cases strtod_basic: [0.309898s] Passed. strtod_gherman_bug: [0.302724s] Passed. strtod_hex: [0.295156s] Passed. strtod_inf: [0.298314s] Passed. strtod_nan: [0.296855s] Passed. strtod_round: [0.300136s] Passed. strtod_underflow: [0.303582s] Passed. strtof_inf: [0.335819s] Passed. strtof_nan: [0.303357s] Passed. strtold_inf: [0.295740s] Skipped: Requires long double support strtold_nan: [0.337718s] Skipped: Requires long double support [4.188036s] lib/libc/stdlib/t_strtol (240/892): 4 test cases strtol_base: [0.307111s] Passed. strtol_case: [0.302952s] Passed. strtol_range: [0.302592s] Passed. strtol_signed: [0.337079s] Passed. [1.545417s] lib/libc/stdlib/t_strtoi (241/892): 4 test cases strtoi_base: [0.293647s] Passed. strtoi_case: [0.306277s] Passed. strtoi_range: [0.300212s] Passed. strtoi_signed: [0.299949s] Passed. [1.507840s] lib/libc/stdlib/t_system (242/892): 1 test cases system_basic: [1.732157s] Passed. [1.809960s] lib/libc/stdlib/t_atexit (243/892): 1 test cases atexit: [1.763999s] Passed. [1.966704s] lib/libc/stdlib/t_getopt (244/892): 2 test cases getopt: [8.725052s] Passed. getopt_long: [8.520255s] Passed. [17.432586s] lib/libc/stdio/t_clearerr (245/892): 2 test cases clearerr_basic: [0.353866s] Passed. clearerr_err: [0.318803s] Passed. [0.838931s] lib/libc/stdio/t_fflush (246/892): 3 test cases fflush_err: [0.579778s] Passed. fflush_seek: [0.577192s] Passed. fpurge_err: [0.535817s] Passed. [1.928533s] lib/libc/stdio/t_fmemopen (247/892): 23 test cases test00: [0.313488s] Passed. test01: [0.302236s] Passed. test02: [0.297393s] Passed. test03: [0.296777s] Passed. test04: [0.298311s] Passed. test05: [0.296123s] Passed. test06: [0.300081s] Passed. test07: [0.295033s] Passed. test08: [0.294037s] Passed. test09: [0.361989s] Passed. test10: [0.354713s] Passed. test11: [0.335878s] Passed. test12: [0.315763s] Passed. test13: [0.599825s] Passed. test14: [0.435779s] Passed. test15: [0.309049s] Passed. test16: [0.296715s] Passed. test17: [0.302473s] Passed. test18: [0.338933s] Passed. test19: [0.337999s] Passed. test20: [0.287510s] Passed. test21: [0.306605s] Passed. test22: [0.381380s] Passed. [9.385862s] lib/libc/stdio/t_fopen (248/892): 13 test cases fdopen_close: [0.535342s] Passed. fdopen_err: [0.593521s] Passed. fdopen_seek: [0.537750s] Passed. fopen_append: [0.553855s] Passed. fopen_err: [0.493312s] Passed. fopen_mode: [0.514375s] Passed. fopen_nullptr: [0.297694s] Passed. fopen_nullptr_compat10: [0.845470s] Skipped: Kernel does not have the compat_10 module loaded into the kernel fopen_perm: [0.321071s] Passed. fopen_regular: [0.288138s] Passed. fopen_seek: [0.498017s] Passed. fopen_symlink: [0.518952s] Passed. freopen_std: [0.809173s] Passed. [7.729005s] lib/libc/stdio/t_open_memstream (249/892): 1 test cases test_open_memstream: [0.298378s] Passed. [0.376027s] lib/libc/stdio/t_fputc (250/892): 6 test cases fputc_basic: [0.534099s] Passed. fputc_err: [0.543065s] Passed. putc_basic: [0.525419s] Passed. putc_err: [0.525428s] Passed. putc_unlocked_basic: [0.528865s] Passed. putc_unlocked_err: [0.499958s] Passed. [3.616386s] lib/libc/stdio/t_popen (251/892): 1 test cases popen_zeropad: [1.801012s] Passed. [1.878808s] lib/libc/stdio/t_printf (252/892): 7 test cases snprintf_c99: [0.596646s] Passed. snprintf_dotzero: [0.414311s] Passed. snprintf_float: [1.344058s] Passed. snprintf_posarg: [0.288583s] Passed. snprintf_posarg_error: [0.288449s] Passed. snprintf_posarg_width: [0.297376s] Passed. sprintf_zeropad: [0.302642s] Passed. [4.098225s] lib/libc/stdio/t_scanf (253/892): 2 test cases sscanf_neghex: [0.332596s] Passed. sscanf_whitespace: [0.296270s] Passed. [0.784262s] lib/libc/stdio/t_intr (254/892): 3 test cases stdio_intr_iofbf: [261.186282s] Failed: Test case body returned a non-ok exit code, but this is not allowed stdio_intr_iolbf: [442.656868s] Passed. stdio_intr_ionbf: [317.614373s] Passed. [1023.660687s] lib/libc/string/t_bm (255/892): 1 test cases bm: [0.322347s] Passed. [0.427983s] lib/libc/string/t_memchr (256/892): 3 test cases memchr_basic: [0.837971s] Passed. memchr_simple: [0.294282s] Passed. memrchr_simple: [0.286659s] Passed. [1.643943s] lib/libc/string/t_memcpy (257/892): 3 test cases memccpy_simple: [0.292188s] Passed. memcpy_basic: [11.967963s] Passed. memcpy_return: [0.297605s] Passed. [12.773417s] lib/libc/string/t_memmem (258/892): 2 test cases memmem_basic: [0.278486s] Passed. memmem_oob: [0.296407s] Passed. [0.726660s] lib/libc/string/t_memset (259/892): 7 test cases bzero_zero_size: [0.311701s] Passed. memset_array: [0.321953s] Passed. memset_basic: [0.323659s] Passed. memset_nonzero: [0.316515s] Passed. memset_return: [0.305900s] Passed. memset_struct: [0.313696s] Passed. memset_zero_size: [0.293546s] Passed. [2.707706s] lib/libc/string/t_popcount (260/892): 2 test cases popcount_basic: [0.283357s] Skipped: config variable "run_popcount" not set to YES/TRUE popcountll_basic: [0.298886s] Skipped: config variable "run_popcount" not set to YES/TRUE [0.735494s] lib/libc/string/t_strcat (261/892): 2 test cases strcat_basic: [0.368787s] Passed. strncat_simple: [0.295145s] Passed. [0.813564s] lib/libc/string/t_strchr (262/892): 1 test cases strchr_basic: [0.296440s] Passed. [0.376422s] lib/libc/string/t_strcmp (263/892): 2 test cases strcmp_basic: [0.339995s] Passed. strcmp_simple: [0.293498s] Passed. [0.792853s] lib/libc/string/t_strcoll (264/892): 1 test cases ordering: [0.330012s] Expected failure: LC_COLLATE not supported: /tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/src/tests/lib/libc/string/t_strcoll.c:69: setlocale(LC_COLLATE, t->locale) != NULL not met [0.412179s] lib/libc/string/t_strcpy (265/892): 1 test cases strcpy_basic: [0.290634s] Passed. [0.370992s] lib/libc/string/t_strcspn (266/892): 1 test cases strcspn: [0.290350s] Passed. [0.372332s] lib/libc/string/t_strerror (267/892): 4 test cases strerror_basic: [0.611802s] Passed. strerror_err: [0.308041s] Passed. strerror_r_basic: [0.500502s] Passed. strerror_r_err: [0.356734s] Passed. [2.148381s] lib/libc/string/t_stresep (268/892): 1 test cases stresep_basic: [0.595045s] Passed. [0.746612s] lib/libc/string/t_strlen (269/892): 3 test cases strlen_basic: [0.338445s] Passed. strlen_huge: [1.227318s] Passed. strnlen_basic: [0.318398s] Passed. [2.227558s] lib/libc/string/t_strpbrk (270/892): 1 test cases strpbrk: [0.356012s] Passed. [0.443714s] lib/libc/string/t_strrchr (271/892): 1 test cases strrchr_basic: [0.360002s] Passed. [0.458143s] lib/libc/string/t_strspn (272/892): 1 test cases strspn: [0.325152s] Passed. [0.409068s] lib/libc/string/t_swab (273/892): 1 test cases swab_basic: [0.367138s] Passed. [0.453267s] lib/libc/sys/t_access (274/892): 6 test cases access_access: [0.670153s] Passed. access_fault: [0.395458s] Passed. access_inval: [0.325778s] Passed. access_notdir: [0.318154s] Passed. access_notexist: [0.336499s] Passed. access_toolong: [0.366440s] Passed. [2.894815s] lib/libc/sys/t_bind (275/892): 1 test cases bind_foreign_family: [0.341615s] Passed. [0.423809s] lib/libc/sys/t_chroot (276/892): 6 test cases chroot_basic: [0.501158s] Passed. chroot_err: [0.332359s] Passed. chroot_perm: [0.381194s] Passed. fchroot_basic: [0.564567s] Passed. fchroot_err: [0.297395s] Passed. fchroot_perm: [0.379848s] Passed. [3.135123s] lib/libc/sys/t_clock_gettime (277/892): 1 test cases clock_gettime_real: [12.317613s] Passed. [12.398183s] lib/libc/sys/t_clock_nanosleep (278/892): 1 test cases clock_nanosleep_remain: [0.311194s] Passed. [0.548612s] lib/libc/sys/t_clone (279/892): 4 test cases clone_basic: [0.343554s] Passed. clone_null_func: [0.339717s] Passed. clone_null_stack: [0.310761s] Passed. clone_out_of_proc: [0.378080s] Passed. [1.683224s] lib/libc/sys/t_connect (280/892): 2 test cases connect_foreign_family: [0.299898s] Passed. connect_low_port: [0.330081s] Passed. [0.869382s] lib/libc/sys/t_dup (281/892): 10 test cases dup2_basic: [0.302406s] Passed. dup2_err: [0.316610s] Passed. dup2_max: [0.285861s] Passed. dup2_mode: [1.040467s] Passed. dup3_err: [0.296771s] Passed. dup3_max: [0.282845s] Passed. dup3_mode: [1.027612s] Passed. dup_err: [0.304801s] Passed. dup_max: [0.566497s] Passed. dup_mode: [1.065832s] Passed. [6.208143s] lib/libc/sys/t_fork (282/892): 15 test cases nested_clone: [0.641555s] Passed. nested_clone_files: [0.434781s] Passed. nested_clone_fs: [0.343470s] Passed. nested_clone_vfork: [0.356782s] Passed. nested_clone_vm: [0.333733s] Passed. nested_fork: [0.376240s] Passed. nested_vfork: [0.336064s] Passed. raise1: [0.327569s] Passed. raise2: [0.433022s] Passed. raise3: [0.421931s] Passed. raise4: [0.415008s] Passed. raise5: [0.415280s] Passed. raise6: [0.500915s] Passed. raise7: [0.328857s] Passed. raise8: [0.344256s] Passed. [7.340945s] lib/libc/sys/t_fsync (283/892): 2 test cases fsync_err: [0.293243s] Passed. fsync_sync: [1.255436s] Passed. [1.713403s] lib/libc/sys/t_futex_ops (284/892): 22 test cases futex_basic_wait_wake_private: [6.786319s] Passed. futex_basic_wait_wake_shared: [7.113327s] Passed. futex_cmp_requeue: [4.946579s] Passed. futex_requeue: [4.606883s] Passed. futex_wait_evil_unmapped_anon: [2.542193s] Passed. futex_wait_pointless_bitset: [0.293499s] Passed. futex_wait_timeout_deadline: [4.692871s] Passed. futex_wait_timeout_deadline_rt: [5.649606s] Passed. futex_wait_timeout_relative: [4.304446s] Passed. futex_wait_timeout_relative_rt: [4.326638s] Passed. futex_wait_wake_anon_bs_private: [6.785607s] Passed. futex_wait_wake_anon_bs_shared: [6.564236s] Passed. futex_wait_wake_anon_bs_shared_proc: [6.947285s] Passed. futex_wait_wake_bitset: [8.585433s] Passed. futex_wait_wake_file_bs_cow_private: [6.850559s] Passed. futex_wait_wake_file_bs_cow_shared: [6.589399s] Passed. futex_wait_wake_file_bs_private: [6.581697s] Passed. futex_wait_wake_file_bs_shared: [6.588845s] Passed. futex_wait_wake_file_bs_shared_proc: [6.828299s] Passed. futex_wake_highest_pri: [6.903746s] Expected failure: PR kern/55230: /tmp/bracket/build/2021.08.01.21.58.56-evbarm-earmv7hf/src/tests/lib/libc/sys/t_futex_ops.c:1447: waiter == lwp_data[1].threadid not met futex_wake_op_cmp: [8.865114s] Passed. futex_wake_op_op: [0.500620s] Passed. [125.910647s] lib/libc/sys/t_futex_robust (285/892): 5 test cases futex_robust_bad_pending: [0.483905s] Passed. futex_robust_evil_circular: [ 13719.8761604] WARNING: pid 26301 (t_futex_robust) lwp 25976 tid 25976: exhausted robust futex limit [264.763758s] Passed. futex_robust_negative: [0.471422s] Passed. futex_robust_positive: [0.480458s] Passed. futex_robust_unmapped: [ 13721.5245156] WARNING: pid 23147 (t_futex_robust) lwp 28951 tid 28951: unmapped robust futex list head [0.468622s] Passed. [267.043664s] lib/libc/sys/t_getcontext (286/892): 3 test cases getcontext_err: [0.275937s] Passed. setcontext_err: [0.278601s] Passed. setcontext_link: [0.282822s] Passed. [1.053730s] lib/libc/sys/t_getgroups (287/892): 4 test cases getgroups_err: [0.298335s] Passed. getgroups_getgid: [0.316693s] Passed. getgroups_setgid: [0.526501s] Passed. getgroups_zero: [0.293908s] Passed. [1.749973s] lib/libc/sys/t_getitimer (288/892): 5 test cases getitimer_empty: [0.285889s] Passed. getitimer_err: [0.294051s] Passed. setitimer_basic: [0.365931s] Passed. setitimer_err: [0.285052s] Passed. setitimer_old: [0.286862s] Passed. [1.885446s] lib/libc/sys/t_getlogin (289/892): 5 test cases getlogin_r_err: [0.305974s] Passed. getlogin_same: [0.296195s] Passed. setlogin_basic: [0.337143s] Passed. setlogin_err: [0.333482s] Passed. setlogin_perm: [0.368796s] Passed. [2.020141s] lib/libc/sys/t_getpid (290/892): 2 test cases getpid_process: [0.594731s] Passed. getpid_thread: [0.804851s] Passed. [1.549758s] lib/libc/sys/t_getrandom (291/892): 10 test cases getrandom_default: [ 13732.2107612] entropy: pid 26429 (t_getrandom) blocking due to lack of entropy [2.310127s] Passed. getrandom_fault: [0.302924s] Passed. getrandom_insecure: [0.317190s] Passed. getrandom_insecure_nonblock: [0.318693s] Passed. getrandom_invalid: [0.558163s] Passed. getrandom_nonblock: [0.422161s] Passed. getrandom_random: [ 13737.1740734] entropy: pid 350 (t_getrandom) blocking due to lack of entropy [2.370236s] Passed. getrandom_random_insecure: [1.050337s] Passed. getrandom_random_insecure_nonblock: [0.309520s] Passed. getrandom_random_nonblock: [0.299733s] Passed. [9.565900s] lib/libc/sys/t_getrusage (292/892): 6 test cases getrusage_err: [0.311596s] Passed. getrusage_maxrss: [27.249702s] Passed. getrusage_msgsnd: [0.602462s] Passed. getrusage_sig: [0.301917s] Passed. getrusage_utime_back: [1.548432s] Expected failure: PR kern/30115: user time went backwards getrusage_utime_zero: [6.296455s] Expected failure: PR kern/30115: anticipated error did not occur [36.883605s] lib/libc/sys/t_getsid (293/892): 3 test cases getsid_current: [0.321453s] Passed. getsid_err: [0.300479s] Passed. getsid_process: [0.341194s] Passed. [1.194192s] lib/libc/sys/t_getsockname (294/892): 1 test cases getsockname_unix: [0.335074s] Passed. [0.413930s] lib/libc/sys/t_gettimeofday (295/892): 2 test cases gettimeofday_err: [0.281471s] Passed. gettimeofday_mono: [0.294296s] Passed. [0.727520s] lib/libc/sys/t_issetugid (296/892): 4 test cases issetugid_egid: [0.383589s] Passed. issetugid_euid: Traceback (most recent call last): File "/usr/pkg/bin/anita", line 157, in status = main() File "/usr/pkg/bin/anita", line 148, in main status = a.run_tests(timeout = options.test_timeout) File "/usr/pkg/lib/python3.7/site-packages/anita.py", line 2511, in run_tests timeout, [r'\d test cases', r'\[\d+\.\d+s\]']) File "/usr/pkg/lib/python3.7/site-packages/anita.py", line 2547, in shell_cmd return shell_cmd(self.child, cmd, timeout, keepalive_patterns) File "/usr/pkg/lib/python3.7/site-packages/anita.py", line 2620, in shell_cmd expect_with_keepalive(child, [prompt_re], timeout, keepalive_patterns) File "/usr/pkg/lib/python3.7/site-packages/anita.py", line 2603, in expect_with_keepalive i = child.expect(all_patterns, timeout) File "/usr/pkg/lib/python3.7/site-packages/anita.py", line 241, in expect r = pexpect.spawn.expect(self, pattern, *args, **kwargs) File "/usr/pkg/lib/python3.7/site-packages/pexpect/spawnbase.py", line 344, in expect timeout, searchwindowsize, async_) File "/usr/pkg/lib/python3.7/site-packages/pexpect/spawnbase.py", line 372, in expect_list return exp.expect_loop(timeout) File "/usr/pkg/lib/python3.7/site-packages/pexpect/expect.py", line 181, in expect_loop return self.timeout(e) File "/usr/pkg/lib/python3.7/site-packages/pexpect/expect.py", line 144, in timeout raise exc pexpect.exceptions.TIMEOUT: Timeout exceeded. command: /usr/pkg/bin/qemu-system-arm args: ['/usr/pkg/bin/qemu-system-arm', '-m', '128', '-drive', 'file=/bracket/evbarm-earmv7hf/test/2021.08.01.21.58.56/anita/wd0.img,format=raw,media=disk,snapshot=on,if=sd', '-nographic', '-tb-size', '128', '-M', 'vexpress-a15', '-append', 'root=ld0a', '-dtb', '/usr/pkg/share/dtb/arm/vexpress-v2p-ca15-tc1.dtb', '-kernel', '/bracket/evbarm-earmv7hf/test/2021.08.01.21.58.56/anita/netbsd-GENERIC.ub'] buffer (last 100 chars): b' Passed.\r\r\n issetugid_euid: ' before (last 100 chars): b' Passed.\r\r\n issetugid_euid: ' after: match: None match_index: None exitstatus: None flag_eof: False pid: 4515 child_fd: 9 closed: False timeout: 3600 delimiter: logfile: None logfile_read: logfile_send: maxread: 2000 ignorecase: False searchwindowsize: None delaybeforesend: 0.05 delayafterclose: 30.0 delayafterterminate: 30.0 searcher: searcher_re: 0: re.compile(b'anita-root-shell-prompt-1627872103.95351# ') 1: re.compile(b'\\d test cases') 2: re.compile(b'\\[\\d+\\.\\d+s\\]') 35431.74 real 31083.94 user 1057.71 sys